preview all symposia

2015 Spring

Materials for Advanced Electronics

AA

Non-volatile memories: materials, nanostructures and integration approaches

This symposium aims to gather the most innovative research in the field of materials and materials-related concepts for non-volatile memories (NVM), devices at the heart of all appliances that need to handle and keep information. The current market leader is Flash NAND, but it is expected that in the coming 5 years different emerging concepts will trigger new applications, posing several challenges in materials research linked to technological developments. The symposium topics will cover the most promising alternatives for NVM data storage, from the physical properties of the materials to the prototype devices.

 

Scope:

 

The increasing need for high density data storage systems in different types of applications (e.g. portable smart technologies, satellites, automotive, web-based data supported by cloud servers) has tremendously pushed the scalability limits of NAND Flash and has, at the same time, created a significant research effort to develop alternative memory concepts using (novel) functional materials. Improving the scalability and performance of these new devices is a multi-disciplinary task, which spans from molecular dynamics to solid state physics, to manufacturing process. These aspects make the NVM field appealing to the research related to materials with application potential.

This symposium aims to gather the newest and most significant studies in the NVM area and it is an important opportunity for visibility and dissemination to European researchers and beyond.

The topics covered will range be from the basic science to the materials research challenges related to NVM devices, as well as key integration strategies for future technologies. Contributions are expected to explore the use of organic and inorganic materials to realize the memory nodes. Focus areas include, but are not limited to: semiconductor-based memories; flash; 3D NAND; magnetic memories featuring magnetic and multiferroic tunnel junctions; ferroelectric systems; chalcogenide-based phase change memory with conventional cells and in 1-D or 0-D nanostructures; ReRAM featuring novel dielectrics; CBRAM based on innovative nanostructured configurations and oxide stacks and pioneering concepts.

Among these topics, preference will be given to studies that focus on the scalability, integration and nano-structuring of devices and/or measurement of the physical properties of highly confined materials, including super-lattices for interfacial memories; topological insulators; nanowires and nanostructures. In this regard, the selected talks will not be limited to the electrical and functional analysis of memory devices, but will include new materials, alloys and phases as well.

 

Hot topics to be covered by the symposium:

 

  • Modeling and simulation of materials and devices for NVM applications
  • Alternative materials and integration strategies for Flash and 3D NAND
  • Electrical characterization, performance and reliability issues of NVM
  • Magnetic Memories: spin transfer torque, tunnel junction, memory ribbon
  • Ferroelectric memories, ferroic materials
  • Phase-change materials: conventional cells, nanowires, nanostructures and interfacial memories
  • Resistive switching memories based on electrochemical and thermochemical mechanisms, memristive systems: oxides, transition metals and interface characterizations
  • Conductive-Bridge RAM based on novel materials
  • Mott-transition and volatile resistive-change mechanisms
  • Organic NVM exploiting polymers, molecules: for flexible electronics
  • Neuromorphic architectures for logic and data storage

 

Invited speakers:

 

A number of invitation conference awards will be available to honor and encourage young scientists whose academic achievements and current research display a high level of excellence. The invited speakers will be selected from the abstracts submitted and should be the main author of the manuscript and entrusted with the presentation of the paper. 

Symposium Keynote speaker:

  • Dr. Roberto Bez,Micron, Italy
  • “Materials research for more Memory” 

Invited speakers: 

  • Dr. Luca Larcher, Univ. Modena and Reggio Emilia, Italy
  • "Multi-scale modeling of HfOX-RRAM operations and variability"
  • Prof. Marco Bernasconi, Univ. Milano-Bicocca, Italy
  • "Large scale molecular dynamics simulations of materials for phase change memories"
  • Dr. Ilia Valov, Forschungszentrum Jülich, Germany
  • "Electrochemical processes and microscopic mechanism in CBRAM"
  • Dr. Julie Grollier, CNRS/Thales lab, France
  • "Neuromorphic circuits based on spintronics devices"
  • Dr. Robin Roelofs, ASM
  • “Development of ALD Solutions for ReRAM Applications”

 

Scientific committee:

 

  • Alex Kotov (SST Microchip, USA)
  • Andrea Fantini (imec, Belgium)
  • Christian Walczyk (IHP, Germany)
  • Claudia Wiemer (CNR-IMM, Italy)
  • Damien Querlioz (Univ. Paris-Sud, France)
  • Daniele Ielmini (Politecnico of Milano, Italy)
  • Etienne Janod (CNRS, France)
  • Gabriele Navarro (CEA-Leti, France)
  • Hilde Hardtdegen (Forschungszentrum Jülich, Germany)
  • Jaakko Akoda (Tampere University of Technology, Finland)
  • Jürgen Schubert (Forschungszentrum Jülich, Germany)
  • Laurent Vila (CEA-INAC, France)
  • Lucian Prejbeanu (SPINTEC, France)
  • Magali Putero (Aix-Marseille University, France)
  • Ricardo Souza (SPINTEC, France)
  • Robert Muller (imec, Belgium)
  • Roberto Fallica (PSI, Switzerland)
  • Sabina Spiga (CNR-IMM, Italy)
  • Stéphane Mangin (Université de Lorraine, France)
  • Valeri Afanas'ev (KULeuven, Belgium)
  • Alessio Spessot (Micron Technology, Belgium)

 

Proceedings:

 

All participants are encourage to submit a paper that will be published an special issue of Physica Status Solidi (a)

(http://onlinelibrary.wiley.com/journal/10.1002/(ISSN)1862-6319).

 

Sponsor:

 

No abstract for this day

No abstract for this day

Start atSubject View AllNum.
11:15
Authors : Minho Choi1, Yong Tae, Kim2, Woon Jo Cho3, Young-Hwan Kim2, Seong Il Kim2, Chun Keun Kim2, Jinho Ahn1
Affiliations : 1Department of Materials Science and Engineering, Hanyang University, Seoul, Korea 2Semiconductor Materials and Device Laboratory, Korea Institute of Science and Technology, Seoul, Korea 3Nanophotonics Research Center, Korea Institute of Science and Technology, Seoul, Korea

Resume : InSbTe alloys have suggested as a more promising candidate than the conventional GeSbTe for multi-level cell (MLC) phase change memory device. Particularly, the resistance drift of the In3SbTe2 (IST) is much smaller than that of the Ge2Sb2Te5 (GST) since the higher activation energy of the IST clearly indicates that the IST is thermally stable than the GST. In this work, we have tried to improve the switching speed of the IST, Bi atoms are doped into the IST within the maximum range of 5.5 at.%. According to measurement, the set switching speed of Bi doped IST is 2.6 times faster than that of the un-doped IST. Effect of Bi atoms in the IST raises question why the fast phase transformation is related with the Bi atoms. Not only the initial (Tx) and other multi-level crystallization temperatures but also the activation energy decreases. The Tx and the activation energy of Bi-doped IST (0 - 5.5at.%Bi) are higher than those of GST, but lower than those of IST. The reduced IST activation energy has an effect on decrease in barrier for phase change, and then atomic migration occurs more easily. Such phase change speed is well observed in the sheet resistances as a function of temperature. The curve of Bi-doped IST is sharp decrease of electrical resistivity at near Tx.. As a result, Bi doping in IST lead to improvement of set speed as lowering energy barrier. Improved IST material can compete with GST in terms of thermal and electrical performance.

AA.AA.I.6
 
Flash memories: materials and integration approaches : Judit G. Lisoni
13:45
Authors : P. Karakolis1,2, N. Nikolaou1, D. Velessiotis1, V. Ioannou-Sougleridis1, P. Normand1, D. Skarlatos2, P. Dimitrakis1
Affiliations : 1Institute of Nanoscience and Nanotechnology, National Centre for Scientific Research “Demokritos”, P.O.Box 60037, Aghia Paraskevi 15310, Athens, Greece. 2University of Patras, Physics Department, 26504 Patras, Greece

Resume : Charge-trapping (CT) nonvolatile memories (NVM) have been studied for years as an alternative to conventional poly-Si FG Flash for technology nodes below 100nm. Instead of a doped poly-Si layer, CT memories make use of discrete charge-trapping sites, which form the so-called charge storage layer (CSL). The implementation of CT-NVM cells have been demonstrated through two main approaches. The first refers to the use of a continuous dielectric CSL with plenty of intrinsic deep-traps, such as silicon nitride, while for the second approach nano-granules or tiny nanocrystals / quantum dots form the CSL. Cells fabricated following the latter exhibit significant improved scalability. In this context, we demonstrate here functional memory capacitors (using n-Si substrate with 3.5nm SiO2 tunneling oxide) where the CSL is made of chemically exfoliated graphene oxide (GO). The GO flakes were commercially provided in water solution at a concentration of 4mg/ml. After comprehensive studies, a protocol was established for spin-coated GO CSL with a thickness close to one monolayer, as revealed by SEM and AFM measurements. The blocking oxide was Al2O3 deposited by ALD at 300C with a thickness in the 8-20nm range. C-V characterization revealed efficient electron storage (programming window 2.5V after a voltage pulse 11V/1ms) and reasonable 10yrs memory windows were extracted following charge retention measurements. Electron storage was found to be more efficient than hole storage. Endurance and high temperature measurements will be also discussed.

AA.AA.II.1
14:30
Authors : Lubov A. Frolova, Alisa A. Rezvanova, Andrew G. Lvov*, Valerii Z. Shirinian*, Alexander V. Kulikov, Mikhail M. Krayushkin* and Pavel A. Troshin
Affiliations : Institute for Problems of Chemical Physics, Russian Academy of Sciences, Academician Semenov av. 1, Chernogolovka, Moscow region, 142432, Russia * N.D.Zelinsky Institute of Organic Chemistry, Russian Academy of Sciences, Leninsky prospect 47, Moscow, 119991, Russia

Resume : Memory devices based on organic photochromic materials have been intensively developed during the last years (Adv. Mater. 2014, 26, 1827). Here we will report results of our systematic study of photoswitchable organic field-effect transistors (OFETs) comprising interfacial dihetarylethene-based photochromic layers sandwiched between the semiconductor and dielectric layers. The designed devices have revealed the behavior of multibit optical memory elements with advanced electrical characteristics, good stability and reliability. They also demonstrated unusually fast switching (t<1-3 ms) between two (or many) distinct stable states which are characterized by drastically different electrical characteristics (VTH, IDS). In particularly, the excellent device switching factors (IDS(state-1)/IDS(state-2)) of 1000-10000 have been achieved. A mechanism of photoswitching of the designed OFETs will be discussed. It has been shown that photoinduced charge separation between the photochromic layer (dihetarylethene as electron donor) and semiconductor ([60]fullerene as electron acceptor) tuned by the applied electric field plays an important role in the device operation. Further exploration of this concept might lead to the development of industrially interesting technologies of novel types of memory devices and light sensors based on organic materials.

AA.AA.II.4
14:45
Authors : N. Kolomiiets 1, V.V. Afanas’ev 1, K. Opsomer 2, A. Stesmans 1
Affiliations : 1 Department of Physics and Astronomy, University of Leuven, Celestijnenlaan 200D, 3001 Leuven, Belgium; 2 Imec, Kapeldreef 75, 3001 Leuven, Belgium

Resume : Thanks to its good thermal stability, including resistance to oxidation, platinum (Pt) is widely used in prototyping a wide spectrum of metal-oxide-semiconductor (MOS) devices ranging from high-mobility transistors to resistive switching memory cells. In particular, the chemical stability of Pt is thought to ensure stable and high barriers at interfaces with various dielectrics corresponding to the known work function (WF) value of 5.6 eV which would allow one to use Pt as the reference electrode. To check the validity of this assumption, in this work the energy barriers for electrons between the Fermi level of Pt and the conduction band of the several oxide insulators (SiO2, Al2O3, HfO2, Hf0.8Al0.2Ox, SrTiO3) were determined by using internal photoemission of electrons. By combining this barrier value with electron affinity of the particular oxide, the effective WF (EWF) of Pt was determined for different interfaces. In the case of the reference Pt/oxide/Si stacks de-gassed in high vacuum at 400 °C, the EWF of Pt is found to differ significantly from the accepted vacuum WF value: The EWF is equal to 5.3 eV at Pt/Al2O3, 5.0 eV at Pt/HfO2, 5.2 eV at Pt/HfAlO, 4.7 eV at Pt/SiO2, and 5.8 eV at Pt/SrTiO3 interfaces indicating the presence of a polarization layer which contribution to the EWF depends on the oxide composition. Furthermore, annealing in H2 at 400 °C reduces the Pt EWF by ~0.4 eV at all interfaces except for the Pt/SrTiO3 one. This observation indicates formation of the additional H2-related dipole at Pt/oxide interface and suggests that the vacuum WF of Pt cannot be used as the value relevant to the MOS properties.

AA.AA.II.5
Start atSubject View AllNum.
 
CBRAM materials and devices : Damien Deleruyelle
08:30
Authors : Ilia Valov
Affiliations : Research Centre Juelich, Electronic Materials PGI 7

Resume : Resistive switching memories based on cation movement and formation of metallic filaments are known as CBRAM (conductive bridge random access memory) or PMC (programmable metallization cells), or ECM (electrochemical metallization memory). This subclass resistive memory is characterized by low power consumption, large ON/OFF ratio and ability for discrete multilevel switching. The cells used as ion transporting solids variety of materials with transport properties ranging from typical solid electrolytes e.g. RbAg4I5 to typical insulators like SiO2, Al2O3 or Ta2O5. Due to the small film thicknesses all these materials are able to mediate ion transport and thus, support the resistive switching. Significant progress has been made in the detailed microscopic understandings of the resistive switching process and revealing the parameters and conditions controlling the device performance. The present contribution will focus on the recent progress in microscopic details on the filament dynamics during growth/dissolution and interface interaction at the Me/Oxide interface in ECM devices. Different modes of switching were explained based on a combination of fundamental materials parameters and redox reaction rates. The effects of the counter electrode materials and the principle relevance of the countercharges/reactions will be emphasized.

AA.AA.III.1
09:00
Authors : Masashi Arita, Yuki Ohno, Masaki Kudo, Yosuke Murakami, Atsushi Tsurumaki-Fukuchi, Yasuo Takahashi
Affiliations : Hokkaido University, Graduate School of Information Science and Technology

Resume : Resistive RAM (ReRAM) shows large resistance change by voltage application. The Cu -solid electrolyte systems is in this category called as the conductive-bridging RAM (CBRAM). Based on electrochemistry, a conductive filament (CF) is believed to contribute to the switching. In this work, we observed structural changes in Cu/MoOx during the ReRAM operation by using in-situ transmission electron microscopy (TEM). The sample was Pt/Cu/MoOx/TiN on a Si wafer. It was miniaturized to 50 ~ 500 nm in diameter for TEM/STM observations. A Pt-Ir probe was attached to the Pt/Cu top electrode in TEM, and the electrical measurements were performed. The TEM sample showed bipolar switching. The resistance became low (or high) with positive (or negative) voltage to the Pt/Cu electrode. It is the Set (or Reset) operation. At the device initialization, the resistance decreased without abrupt change, and dark contrast corresponding Cu appeared near TiN. Continuing the switching cycles, the resistance change became abrupt, and the Cu precipitation clearly appeared. This precipitation seems to work as the CF. The precipitation did not show recognizable change at the Set moment, and it grew during subsequent voltage application from TiN to Cu. No drastic change was seen in the image at the Reset moment, and the precipitation became small with further negative voltage. The shrinkage direction depended on the CF geometry. When dark TEM image of the CF connected between TiN and Cu electrodes, the precipitate shrank along TiN to Cu. On the other hand, shrinkage occurred along Cu to TiN when it did not connect two electrodes.

AA.AA.III.2
09:45
Authors : F. d'Acapito1, E. Souchier2, P. Noé2, P. Blaise2, M. Bernard2 and V. Jousseaume2
Affiliations : 1_CNR-IOM-OGG Grenoble 2_CEA-LETI Minatec campus, Grenoble

Resume : A key aspect in the widespread use of Ag-based Conducting Bridge RAMs (CBRAMs) is its capability of data retention, in particular the stability of the low resistive and conductive ON state. Antimony is added to the ionic conductor layer in GeSx based CBRAMs in order to improve the resistance of the device to the sulphurization of Ag conducting bridges. In this contribution we have used depth-resolved X-ray Absorption Spectroscopy (XAS) to elucidate the role of this dopant. Structural data on the environment of Sb in two zones of the sample (topmost 6 nm and whole layer) were collected. A previous study on the diffusing ion Ag in repeatedly cycled CBRAM samples have shown a nonuniform balance for the phases of Ag (metal and sulphide) with predominance of the metal form near to the surface. In this case Sb has the same environment both on the surface and in the bulk and it is bound to S and Ge ions in the first and the second coordination shell respectively. This means that Sb enters the structure of the glassy GeSx layer in an homogeneous way and in a relatively well-ordered site. This reasonably contributes in immobilizing S atoms that otherwise could migrate lowering thus the sulphurization of the already formed Ag conducting metallic paths in the CBRAM memory ON state.

AA.AA.III.5
11:00
Authors : Mark Buckwell, Luca Montesi, Richard Chater, Sarah Fearn, Adnan Mehonic, Steven Hudziak, David McPhail, Anthony Kenyon
Affiliations : University College London, Imperial College London

Resume : Silica-based resistive switching devices offer simple fabrication, using existing infrastructures, of high-density, high-efficiency data storage media. However, the switching mechanism must be fully understood in order for such devices to be optimised and integrated into consumer technologies. Currently, oxide-based resistive RAM research focuses on oxygen atom migration through an insulating layer under electrical stress. Although it seems apparent that oxygen movement plays a major role in device behaviour, there has remained a lack of direct evidence of a correlation between switching and oxygen motion. Along with pre- and post-switching characterisation of the active material using atomic force microscopy and x-ray photoelectron spectroscopy, we present recent work in which we have successfully observed, using primary and secondary ion mass spectroscopy, the ejection of oxygen-based species from our devices during operation. The novel methods used and subsequent data obtained highlight the dynamic behaviour of our silica memory.

AA.AA.IV.2
11:30
Authors : S. U. Sharath1, J. Kurian1, E. Hildebrandt1, P. Komissinskiy1, S. Vogel1, T. Bertaud2, C. Walczyk2, P. Calka2, G.Niu2, T. Schroeder2,3 and L. Alff1
Affiliations : [1] Institute of Materials Science, Technische Universität Darmstadt, Alarich-Weiss-Straße 2, 64287 Darmstadt, Germany.; [2] IHP, Im Technologiepark 25, 15236 Frankfurt Oder, Germany.; [3] Brandenburgische Technische Universität, Konrad-Zuse-Straße 1, 03046 Cottbus, Germany.

Resume : Higher voltages used in electroforming process, required for realizing stable resistive switching in hafnium oxide (HfO2) based resistive random access memory (RRAM) devices, can lead to variations in switching behavior from device to device decreasing the device yield. In turn, efforts have been made towards achieving forming-free switching by defect engineering using strongly oxygen deficient growth parameters and doping of HfO2 thin films to stabilize oxygen vacancy concentrations far beyond the thermodynamical equilibrium. Thin films of titanium nitride (TiN, electrode) and HfO2 were grown using molecular beam epitaxy (MBE). The conductivity of HfO2-x films grown on c-cut sapphire substrates could be tuned in a wide range by varying the oxygen flow [1]. In the RRAM device, thin films of hafnium oxide grown at 320 oC on TiN crystallize in a monoclinic symmetry (m-HfO2) at higher oxidation conditions, whereas oxygen deficient hafnium oxide films showed a oxygen vacancy stabilized tetragonal-like phase of hafnium oxide (t-HfO2-x) which was verified by X-ray diffraction [2]. A large concentration of oxygen vacancies lead to a defect band at the Fermi-level as observed by X-ray photoelectron spectroscopy (XPS). The electrical switching measurements show that the forming voltage is reduced for oxygen deficient films paving way for low power devices in future. In oxygen deficient HfO2-x thin films grown on TiN/Si(001), thickness dependence of forming voltage is also strongly suppressed [3]. [1] E. Hildebrandt et al., J. Appl. Phys. 112, 114112 (2012). [2] S. U. Sharath et al., Appl. Phys. Lett. 104, 063502 (2014). [3] S. U. Sharath et al., Appl. Phys. Lett. 105, 073505 (2014).

AA.AA.IV.4
16:00
Authors : Dong Uk Lee1,2, Dongri Qiu1, Eun Kyu Kim1, and Il Ki Han3
Affiliations : 1Department of Physics, Hanyang University, Seoul 133-791, Korea; 2NAND Development Division, SK Hynix, Icheon 467-734, Korea; 3Center for Opto-Electronic Convergence Systems, KIST, Seoul 136-791, Korea

Resume : The resistive memory devices have several potentials for various kinds of electronic device applications such as mobile smart phone, display, e-paper, and wearable information technology. The graphene has reported to use flexible memory device because the single layered graphene has high carrier mobility, mechanical flexibility, one atomic layer thickness, and versatile chemistry.[1,2] In this study, we have demonstrated the effect of barrier height modulation by applying gate bias for the device consisted with metal-oxide quantum dots (QDs) on the graphene layer, which were deposited on SiO2/Si substrate by using chemical vapor deposition. The 5-nm-thick secondary Al2O3/SiO2 barrier layer was deposited on the mono-layered graphene by using a thermal evaporator and sputter. The metal-oxide QDs layer embedded in the 50-nm-thick biphenyl-tetracarboxylic dianhydride-phenylen diamine and poly(N-vinyl carbazole) mixture polymer layer were formed on the graphene layer by using evaporation and spin coating process. After a curing process at 400 oC for 1 hr, the metal electrode was fabricated on top of the polyimide layer. The electrical properties of memory device were characterized and the conduction mechanism through the barrier layer of resistive switching memory device was discussed. [1] D. U. Lee, D. Kim, E. K. Kim, W.-J. Cho, Y.-H. Kim, and H. Im : Thin Solid Films 543 (2013) 106. [2] D. U. Lee, D. Kim, K. S. Lee, and E. K. Kim, J. Nanosci. Nanotechnol. 14 (2014) 8654.

AA.AA.PI.6
16:00
Authors : Samuel Bradley, Alexander Shluger
Affiliations : Department of Physics and Astronomy, University College London, Gower Street, London WC1E 6BT, UK

Resume : HfO2 is one of the main candidate materials for so called resistive random access memory (ReRAM) devices due to its good performance and current applications as a gate dielectric in CMOS devices. Transition metal oxide-based ReRAM employs oxygen deficiency in a local conductive filament (CF) as its principle of operation and the change in resistivity of the filament is controlled by the interstitial oxygen diffusion. It is assumed that O interstitial – O vacancy defect pairs are formed in the so called electro-forming process, but the detailed mechanism of this process is still unknown. We use density functional theory (DFT) to demonstrate that aggregation of (pre-existing) oxygen vacancies is an unlikely mechanism for CF formation due to high (1-2 eV) diffusion barriers and weak (<0.1 eV) attraction between vacancies. On the other hand, stable defect pairs can be formed in m-HfO2 under conditions of electron injection. We demonstrate that the extra electron trapping at perfect lattice sites (polarons) and near pre-existing O vacancies facilitate the formation of stable pairs of oxygen vacancies and interstitial O ions by significantly lowering the barriers for O ion displacement into interstitial lattice positions. These defects then separate due to the fast diffusion of O ions leading to aggregation of O vacancies.

AA.AA.PI.8
16:00
Authors : J. H. Kim1, D. S. Byun1, D. H. Ko 1,J. H. Park2
Affiliations : 1 Department of Materials science and Engineering, Yonsei University, Seoul 120-479, Republic of Korea; 2 Process Development team, semiconductor R&D Division, Samsung Electronics Co., Ltd San#21, Banwol-dong, Hwasung-City, Kyungki-Do, 445-701, Korea

Resume : Phase change memory(PCM) has been the focus of next-generation memories because of several advantages such as low fabrication cost, large endurance cycles, high speed. However, previous studies have found that Ge2Sb2Te5, which is the material currently used, has several disadvantages such as low crystallization temperature, high power consumption, and low data retention for high reliability of operation. In our study, we investigated the effect of selenium(Se) doping on the electrical properties, thermal stability, and data retention for reliability of GeSb and GST. when the selenium was incorporated into GeSb film, both the crystalline and the amorphous resistance increase and it translates to a larger ratio of Rset/Rreset. Isothermal & non isothermal temperature-resistance measurement showed that the crystallization temperature & Activation energy of Se-doped GeSb film are higher than pure-GeSb film. In addition, the failure time and data retention increase continually with increased Se doping. when Current sweep, the set current of amorphous state decrease after Se doping and In case of Se-doped GST cell, which is operated by voltage pulse, has lower Set/Reset operation voltage than pure GST film and it is contributive to less power consumption and it seems that this property will be similar to Se-doped GeSb. On the basis of our results, we conclude that Se-doped GeSb has the potential to be used as a phase change material for next generation PRAM applications.

AA.AA.PI.9
16:00
Authors : HEHE ZHANG1, WOONGKYU LEE2, ALEXANDER HARDTDEGEN1, CHEOL SEONG HWANG2, RAINER WASER1, SUSANNE HOFFMANN-EIFERT1
Affiliations : 1Forschungszentrum Juelich, PGI-7, 52425 Juelich, Germany, 2Department of Materials Science and Engineering and Interuniversity Semiconductor Research Center, Seoul National University, Seoul 151-744, Korea

Resume : Atomic layer deposition (ALD) of SrTiO3 (STO) typically results in amorphous thin films. Crystallization by post annealing of the full layer yields a grainy microstructure which can introduce unwanted leakage paths. The dielectric and leakage properties of ALD STO films can be improved by a ‘2-step’ seed layer growth process where an ALD STO film is grown on a pre-annealed 3 nm STO seed layer. Differences in dielectric and leakage properties indicate different defect structures for the films. Therefore, a comparison of the resistive switching (RS) behavior of the two qualities of STO films is interesting. In this work, two classes of 7 nm and 9 nm thick ALD STO films were utilized, with seed layer (in-situ STO) and w/o seed layer (ex-situ STO). The films were integrated into Pt/STO/TiN nano-crossbar structures of about 100 nm in size. The electrical characterization comprises initial leakage behavior, breakdown strength, electroforming, reset and resistive switching (RS) behavior. For current compliance values below 60 µA the cells show bipolar-type switching (BS) while for higher current compliance, the RS behavior changed to complementary switching (CS). The behavior of current and voltage stress and the effect of different defect and microstructures are discussed. This work was supported in part by the Deutsche Forschungsgemeinschaft (SFB917), and by the Global Research Laboratory program (2012040157) through the National Research Foundation (NRF) of Korea.

AA.AA.PI.10
16:00
Authors : Young-Hwan Kim1, Woon Jo Cho2, Seong Il Kim1, Chun Keun Kim1, Minho Choi3, Jinho Ahn3, Yong Tae Kim1*
Affiliations : 1Semiconductor Materials and Device Lab., Korea Institute of Science and Technology, Seoul, Korea 2Nanophotonics research center, Korea Institute of Science and Technology, Seoul, Korea 3Department of Materials Science and Engineering, Hanyang University, Seoul, Korea

Resume : During the past decade, In3SbTe2 (IST) has been suggested as a promising candidate for the MLC-PRAM. Then, thermal properties of IST are still doubtful because multi-phase transition processes may hinder the fast crystallization. Therefore, in this work, the crystallization and melting temperatures of IST have been investigated. As a result, it is found that the activation energy of the IST is higher than that of the GST, which means that the multi-level phase transition processes of the IST require more energy to overcome the barriers for the phase transitions from amorphous to crystalline and vice versa. So, we have tried to modify the crystal structure by doping Bi (2~5.5 at.%) into the IST alloy. Using density functional theory, the distorted angles (Δθ1, Δθ2) are calculated according to the Bi concentrations, which are within the range of 0.84˚ <Δθ1< 1.00˚ and 1.33˚ <Δθ2< 2.06˚ along [001] and [1-1-2] zone axes. The induced local distortion is also measured by atomic resolution transmission electron microscopy (TEM). Experimental and theoretical investigations show that Sb atoms are substituted by Bi atoms, resulting in small lattice distortion and the specific heat capacity is also reduced from 0.302 ~ 0.340 to 0.168 ~ 0.184. This means that the Bi doped IST can be operated with fast speed and lower power consumption. The origin of this improvement is ascribed to the lattice distortion and increase of vacancies in the distorted lattice structure.

AA.AA.PI.18
16:00
Authors : Akihito Takahashi, Masashi Arita, Yuuki Ohno, Masaki Kudo, Akitoshi Nakane, Atsushi Tsurumaki-Fukuchi, Yasuo Takahashi
Affiliations : Hokkaido University, Graduate School of Information Science and Technology

Resume : The resistive switching RAM (ReRAM) has high potential as a nonvolatile memory in the next generation. While many studies have been made on oxide-based ReRAM, detailed operation is still obscure. In this work, to investigate the ReRAM made of Cu/WOx, in-situ TEM was conducted. The ReRAM film composed of Pt/Cu/WOx was prepared on a TiN/Si wafer by sputtering. By using the ion-shadow method, cone-shaped tiny ReRAM devices with the diameter of several tens to hundreds of nanometer were prepared for in-situ TEM experiments. At the first SET (forming), a precipitation appeared inside the WOx layer. It is presumed that a Cu conducting filament was formed, and it induced lowering of resistance. On the other hand, at the RESET operation, no change of the microstructure was recognized in the images though the resistance changed to be high. After the formation of the conductive filament, structural change relating to the resistance switch must be localized in a very small area. By repeating high current switching operation, the interface between WOx and TiN/Si became indistinct. Probably Cu was condensed at the interface. It is thought to lead the device destruction.

AA.AA.PI.29
16:00
Authors : Stefano Brivio, Sabina Spiga
Affiliations : Laboratorio MDM, IMM-CNR, via Olivetti 2, 20864 Agrate Brianza Italy

Resume : Resistive switching devices, in particular those based on HfO2, are among the best solutions for future memory technology, given their scalability, fast switching and low power operation. Usually after a forming process, the switching occurs from low (LRS) to high resistance state (HRS) by a reset process and vice versa by a set process. A typical HRS has an intermediate resistance between LRS and the initial state. We will present the electrical operation of Pt/HfO2/TiN devices which reveals different switching possibilities: clockwise (CW) switching (set for negative voltage and reset for positive voltage at Pt electrode), as already reported in literature for similar material stacks; counterclockwise (CCW) switching and complementary switching (CS). The coexistence of CW and CCW switching demonstrates that both metal-oxide interfaces are active in the formation and the dissolution of conductive filaments (CFs) responsible for the switching. This is also proved by the existence of a CS regime, which is described by set and reset occurring for the same polarity at opposite interfaces. Furthermore, in the CW regime, the reset voltage can be extended to restore the same resistance as the initial one. The full reset enables a forming free operation in which the CF, established in the LRS, is completely dissolved. All these observations are discussed in terms of a competition between thermoelectric processes occurring at the opposite metal-oxide interfaces.

AA.AA.PI.33
16:00
Authors : P. Bousoulas, D. Sakellaropoulos, I. Karageorgiou, D. Tsoukalas
Affiliations : Department of Applied Physics, National Technical University of Athens, Iroon Polytechniou 9 Zografou, 15780 Athens, Greece

Resume : Uniformity of device characteristics is a major problem that obstructs metal oxide memories from wide industrial exploitation. Thus investigating the parameters which influence the variations of the various resistance states is a vital task. For that reason we have explored two material options: a) bilayer structures consisting of TiO2-x (oxygen rich region)/TiO2-y (oxygen poor region) homojunctions and b) TiO2-x films with embedded Pt nanoparticles and we have compared with pristine samples (TiO2-x only). The structure of the corresponding devices was the following: TiN/Ti/TiO2-x/TiO2-y/Au, and TiN/Ti/TiO2-x/Pt nps/TiO2-x/Au while the top electrodes were square in shape with 100 μm lateral dimension. As far as it concerns bilayers, the top oxide layer works as the resistance switching layer, whereas the bottom layer acts as oxygen vacancy reservoir and induces the forming free nature of the film. Depending on the oxygen ion content in the oxygen rich film (20-33.3%), under fixed oxygen content in the oxygen poor area (13%), enhanced switching uniformity was recorded (σ/μ<1) which is attributed to the controllable creation of oxygen vacancies. On the other hand, the metallic nanoparticles dictate the paths for the formation and rupture of conducting filaments by local enhancement of the applied electric field around nanoparticles. Thus resistive switching takes place at specific locations instead of occurring randomly that improves resistance switching uniformity (σ/μ<1) as this compares with pristine samples (σ/μ< 2.5).

AA.AA.PI.35
16:00
Authors : M. Trapatseli, D. Carta, A. Regoutz, A. Khiat, A. Serb and T. Prodromakis
Affiliations : Nanoelectronics and Nanotechnology Research Group, Electronics and Computer Science Department, University of Southampton, Southampton SO17 1BJ, UK.

Resume : Over the last few years, TiO2 thin films have been intensively studied particularly into emerging memory device concepts. A key performance parameter is the devices endurance, which gradually degrades and eventually leads into failure giving rise to reliability issues. In this work, we demonstrate that TiO2-based resistive random access memory (ReRAM) exhibit 3 distinct operating regions where no switching, reversible switching and irreversible switching occurs. These operating regions are identified to be separated by distinct voltage thresholds, designating soft and hard breakdown; the latter leading into device failure. The underlying reversible and irreversible processes were firstly characterised at the thin film level via conductive atomic force microscopy (C-AFM) and were validated with switching characteristics of solid-state ReRAM equivalents.

AA.AA.PI.38
16:00
Authors : L.Khomenkova1,2, N. Korsunska2, S. Kirillova2, F.Gourbilleau1
Affiliations : 1 CIMAP, CEA/CNRS/ENSICAEN/UCBN, 6 Blvd Marechal Juin, 14050 Caen Cedex 4, France; 2) V.Lashkaryov Institute of Semiconductor Physics, 45 Pr.Nauky, 03028 Kyiv, Ukraine

Resume : Hafnia-based materials are promising dielectrics to replace silica in microelectronic devices. It was shown theoretically that cation dopants (such as C, N, Si, Ge, Ce, Er, etc.) are able to improve the stability of amorphous phase. However, the experimental confirmation was not widely demonstrated. In the present work the properties of Si, N and/or Er doped Hf-based thin films fabricated by RF magnetron sputtering were studied by means of FTIR-ATR, XRD, C-V and photovoltage methods versus deposition conditions and thermal treatment. The amorphous Hf-based films doped with Si were found to be stable upon annealing at 950-1000°C and demonstrated homogeneous chemical composition, whereas doping with Er ions results in the formation of tetragonal HfO2 phase. At the same time, co-doping with Si and N ions allowed to conserve the amorphous structure of the layers up to 1100°C. The C-V characteristics demonstrated good uniformity along the wafers. The concentration of interface trap density was found to be about (0.6-6)*10^10cm-2. Obtained results demonstrated that these materials can be used for non-volatile memory application requiring high-temperature processing.

AA.AA.PI.40
16:00
Authors : Yu.Yu. Lebedinskii1,2, A.M. Markeev1 and D.S.Kuzmichev1
Affiliations : 1Moscow Institute of Physics and Technology, 9 Institutskii per., Dolgoprudny,141700 Moscow region, Russia 2NRNU Moscow Engineering Physics Institute, 31 Kashirskoe chaussee, 115409 Moscow, Russia

Resume : Among the binary metal oxides, Ta2O5 based ReRAM devices have demonstrated encouraging electrical performance, including high endurance, high switching speed, low energy operation and forming-free. However, usually oxygen deficient TaOx films are applied for ReRAM stacks [1]. In this work the non-standard sputtering process for Ta2O5+x overstoichiometric dielectric deposition for Pt/ Ta2O5+x /Ta MIM stacks was developed. The dielectric elemental composition was confirmed by both Rutherford Backscattering Spectrometry and X-Ray Photoelectron Spectroscopy (XPS). Moreover, the tremendous oxidation of Pt at the bottom stack interface was detected by XPS. XPS analysis also allowed to define the band alignment on the stack interfaces which affects the metal-insulator contact resistance and in turn influences resistive switching (RS) behavior and dominant conduction mechanism. The electrical properties investigations showed that the developed MIM cell revealed forming-free RS with stable values of programming voltages and it exhibits the stable pulse switching with endurance over 1010 cycles. The comparison of the sputtered overstoichiometric Ta2O5+x based stack with the stoichiometric ALD Ta2O5 based stack allowed to elucidate the role of both stoichiometry and platinum SET-RESET oxidation-reduction in the possible mechanisms of resistive switching. [1] J. Joshua Yang et al, Appl. Phys. Lett. 97, 232102 (2010)

AA.AA.PI.41
16:00
Authors : HEHE ZHANG, SUSANNE HOFFMANN-EIFERT
Affiliations : Forschungszentrum Juelich, PGI-7, 52425 Juelich, Germany

Resume : Resistive switching devices (ReRAM) are considered for next generation storage class memory due to the simple device structure and low power operation combined with non-volatility. For valence change (VCM) oxide devices TiO_{2} is one of the most intensively studied materials. TiO_{2} based resistive switching (RS) cells show a nonlinear current-voltage characteristic of the low resistance state (LRS) necessary for larger array devices. Starting with TiO_{2} integrated into crossbar structures of Pt and TiN electrodes possibilities for improving the RS properties of the cells by introducing another thin metal oxide are studied. The oxide bilayer stacks are grown by atomic layer deposition which provides pinhole free films of a few nanometer in thickness conformal covering 3D electrodes. Bilayer stacks of TiO_{2}/Al_{2}O_{3} with different thicknesses of the individual oxide layers were integrated into micro- and nano-crossbar cells. These cells show bipolar VCM-type RS while the OFF/ON resistance ratio and the device stability depend on the thickness of the TiO_{2} and Al_{2}O_{3} layer, respectively. By alternating the electrode/oxide contact the importance of interfaces is also explored. In the nano-cross point structure stable SET process without need for current compliance is observed, which is possible for application in 1T1R structure. The purpose of this study is to understand impacts from materials for bilayer structure of TiO_{2}/Al_{2}O_{3}, which can lead to construction of devices with desired RS properties.

AA.AA.PI.43
16:00
Authors : Henrique Gomes 1,2 , Paulo R. F. Rocha 2,3, Carmo Medeiros 4, Dago M. De Leeuw 3, Stefan C. J. Meskers 5
Affiliations : 1 Universidade do Algarve, FCT, campus de Gambelas, Faro, Portugal; 2 Instituto de telecomunicações, Av. Rovisco Pais 1, 1049 - 001 Lisboa, Portugal 3 Max Planck Institute for Polymer Research Ackermannweg 10, D-55128 Mainz, Germany 4 IT-Instituto de Telecomunicações, Departamento de Engenharia Eletrotécnica e de Computadores, Universidade de Coimbra, 3030-290 Coimbra, Portugal; 5 Molecular Materials and Nanosystems, Eindhoven University of Technology, P.O. Box 513, 5600 MB Eindhoven, Netherlands

Resume : Resistive switching in oxide-polymer based memory devices is caused by the formation of filamentary current paths in between the electrodes. Here we study the size and current density transported by the conducting filaments using a combination of low-frequency capacitance and current measurements. Small filaments transport current as low as 3.75 mA/cm2 and they switch on and off at frequencies as high as a few kHz at room temperature. These filaments do not contribute to the non-volatile memory properties. Bias and temperature dependence of the switching rate confirms that the filaments are not metallic. Furthermore, it is shown that the filaments are not randomly distributed in size but that there is a network of identical filaments. The switching rate of the filaments is controlled by the free electron concentration in the polymer layer. Charge recombination is responsible for the turning off mechanism. Charge trapping in the oxide is responsible for the turning on mechanism.

AA.AA.PI.45
16:00
Authors : S. Selmo1-2, R. Fallica 1, R. Cecchini 1, S. Cecchi 1, C. Wiemer 1, M. Longo 1
Affiliations : 1 CNR-IMM, Unità di Agrate Brianza, Laboratorio MDM, Via C. Olivetti 2, 20864 Agrate Brianza (MB) - ITALY; 2 Dipartimento di Scienza dei Materiali, Università degli Studi Milano – Bicocca, Via R. Cozzi 53, 20125 Milano- ITALY

Resume : The possibility to downscale phase change memory (PCM) devices by exploiting chalcogenide nanowires (NWs) is interesting, since they offer low programming volume and reduced power operation. In order to achieve a site-controlled growth of chalcogenide NWs by metalorganic chemical vapor deposition (MOCVD) coupled with the vapor-liquid-solid mechanism, we nano-fabricated a matrix of gold nano-droplets (diameter ≤ 50 nm) on Sip|SiO2 substrates. In particular, the location of the nano-droplets was controlled by forming Si windows on the substrates using reactive ion etching of SiO2, on which a thin PMMA layer had been previously patterned by electron-beam lithography. The square windows were arranged in an array of tunable period. After the patterning of the windows, an Au thin film with a variable thickness was obtained only inside the window region by a lift-off process. Moreover we studied the effect of 1) different ion etching conditions to achieve residue-free windows and 2) pre- and post- baking of the Au layer to tune its coalescence into gold nanoparticles. Nano-fabricated substrates were characterized by scanning electron microscopy (SEM) and used for the MOCVD growth of chalcogenide NWs. Results obtained for In-based chalcogenide NWs will be reported.

AA.AA.PI.47
Start atSubject View AllNum.
09:15
Authors : Stefano Brivio1, Erika Covi1, Marco Fanciulli1,2, S. Spiga1
Affiliations : 1 Laboratorio MDM, IMM – CNR, Via C. Olivetti 2, 20864 Agrate Brianza (MB), Italy 2 Dipartimento di Scienza Dei Materiali, Università di Milano Bicocca, Via R. Cozzi 53, 20126 Milano (MI), Italy

Resume : Resistive switching (RS) devices, for long developed for memory application, have been recently proposed for synaptic electronics. In the simplest version, neuromorphic architectures are constituted by CMOS-neurons linked by a huge amount of electronic synapses able to smoothly change conductance, either by potentiation (increase) or depression (decrease). In the present work, we propose an Al:HfO2-based memristor featuring complete analog operation, i.e. gradual conductance increase (set process) and decrease (reset process). Many reported HfO2-based devices show abrupt set and only gradual reset and, thus, to act as electronic synapses, require complex algorithms implementing current limitation during set or only conductance increase during reset. The analog operation results from the engineering of metal/oxide interfaces and Al doping, which influence defect availability and mobility, and hence the formation and disruption of conducting filaments responsible for resistance changes. We demonstrate that synaptic potentiation and depression can be easily implemented through simple pulse schemes: series of pulses with (i) increasing amplitude, (ii) increasing timewidth and (iii) repetition of identical pulses. We will show that the pulse schemes obey strict voltage-timewidth relationship according to the so-called voltage-time dilemma, which stands as a severe issue for memory application of RS devices but now turns out as an opportunity for RS electronic synapse

AA.AA.VI.3
09:45
Authors : T. Braz,1 Q. Ferreira,1 A. Luisa Mendonça,1 A. M. Ferraria,2 A. M. B. do Rego,2 R. E Di Paolo3 and J. Morgado1,4
Affiliations : 1Instituto de Telecomunicações, Instituto Superior Técnico, Av. Rovisco Pais, P-1049-001, Lisbon, Portugal. 2Centro de Química-Física Molecular and IN, Instituto Superior Técnico, Universidade de Lisboa, Av. Rovisco Pais, P-1049-001 Lisbon, Portugal 3Centro de Química Estrutural, Departamento de Engenharia Química, Instituto Superior Técnico, Universidade de Lisboa, Av. Rovisco Pais, P-1049-001 Lisboa, Portugal 4Department of Bioengineering, Instituto Superior Técnico-UL, Av. Rovisco Pais, P-1049-001 Lisbon, Portugal

Resume : Blends of a ferroelectric polymer (P(VDF-TrFE)) and conjugated polymers were used to fabricate resistive non-volatile memories[1]. The operation mechanism relies on the existence of a lateral phase separation between the two components, with the ferroelectric domains polarization affecting the charge injection barrier, and with an assumed sharp interface between the two polymers domains. By making use of a thermally crosslinkable conjugated polymer, we have directly studied the interface, exposed upon selective removal of the ferroelectric material, by combining AFM and XPS spectroscopy, evidencing a lateral phase separation but also the existence of a mixed/diffuse interface [2]. Photophysical studies were also carried out for this blend system, evidencing the photoluminescence quenching by the ferroelectric polymer. References [1] K. Asadi, D. M. de Leeuw, B. de Boer, P. W. M. Blom, Nature Materials. 2008, 7, 547. [2] T. Braz, Q. Ferreira, A. L. Mendonça, A. M. Ferraria, A. M. B. do Rego, J, Morgado, J. Phys. Chem. C., DOI: 10.1021/jp509620a Acknowledgments: We thank Fundação para a Ciência e Tecnologia (FCT-Portugal) for financial support under the projects PEst-OE/EEI/LA0008/2013 and PTDC/CTM-NAN/116931/2010 and for a PhD grant to TB and a Postdoc grant to QF.

AA.AA.VI.5
11:15
Authors : S. Hoffmann-Eifert(1), N. Aslam(1), C. Funck(1), T. Blanquart(3), J. Niinistö(3), M. Ritala(3), M. Leskelä(3), C. Rodenbücher(1), K. Szot(1), A. Siemon(2), E. Linn(2), S. Menzel(1), S.H. Du(4), M. Bornhöfft(4), J. Mayer(4), R. Waser(1)
Affiliations : [1] PGI-7 and JARA-FIT, Forschungszentrum Jülich, 52425 Jülich, Germany; [2] Department of Chemistry, University of Helsinki, Finland; [3] Institute of Materials in Electrical Engineering and Information Technology, RWTH Aachen University, Germany; [4] Ernst Ruska Center (ER-C) for Electron Microscopy and Spectroscopy, Forschungszentrum Jülich and RWTH Aachen University, Germany

Resume : Redox-based resistive switching memory cells (ReRAM) are intensively studied for next generation storage class memory due to the simple device structure and low power consumption combined with non-volatility and good endurance. A high integration density can be achieved for passive crossbar arrays. However, the inherent leakage current through unselected cells limits the maximum size of the crossbar array. Device concepts which can solve this problem comprise complementary resistive switches, and selector elements built from threshold-type devices like for example NbO2 which typically show a negative differential resistance characteristic. This volatile resistance change can be utilized for realization of a highly non-linear selector element. In the present study we realized a TS-ReRAM element from a simple Pt/Nb2O5/Ti/Pt stack fabricated from 10 nm thick amorphous Nb2O5 layers grown by means of atomic layer deposition and integrated into 100 nm x 100 nm crossbar structures. Accurate tuning of the electrical stimuli during electroforming and resistive switching enables a control of the fraction of volatile threshold and non-volatile memory switching in the crossbar cells. An empirical model of the switching behavior is presented based on nano-structural analysis of a switched cell, and on a numerical simulation of the current-voltage characteristics. This work was supported in part by the Deutsche Forschungsgemeinschaft (SFB917), and by FP7 under grant ENHANCE-238409.

AA.AA.VII.3
11:30
Authors : B. Corraze, J. Tranchant, M. Querre, P. Diener, P. Stoliar, M. Rosenberg, D. Lorcy, M. Fourmigue, M.-P. Besland, L. Cario and E. Janod.
Affiliations : B. Corraze, J. Tranchant, M. Querre, P. Diener, P. Stoliar, M.-P. Besland, L. Cario, E. Janod : Institut des Materiaux Jean Rouxel (IMN), Universite de Nantes, CNRS 2 rue de la Houssiniere, BP32229, 44322 Nantes, France ; M. Rosenberg : Laboratoire de Physique des Solides, CNRS UMR 8502, Universite Paris Sud, Bat 510, 91405 Orsay, France; D. Lorcy, M. Fourmigue : Institut des Sciences Chimiques de Rennes, Universite Rennes 1, UMR CNRS 6226, Campus de Beaulieu, 35 042 Rennes, France.

Resume : The application of short electrical pulses on narrow gap Mott insulators induces a new phenomenon of resistive switching (RS). Our works show that this RS is related to an electric field triggered electronic avalanche phenomenon [1]. This avalanche induces the collapse of the Mott insulating state at the nanoscale [2] and ultimately leads to the formation of granular conductive filaments. This phenomenology, first evidenced on the AM4Q8 (A=Ga,Ge; M=V,Nb,Ta,Mo; Q=S,Se) compounds [3], is universal to all canonical Mott insulators such as (V1-xCrx)2O3, NiS2-xSex [4] or the new organic Mott insulator [Au(Et-thiazdt)2] compounds [5]. Recently we successfully described the SET and RESET using energetic landscape and probability rates between Mott insulator and correlated metal states. Understanding this new resistive switching mechanism allows us to control the switch back and forth between different resistance levels. Moreover, the performances obtained on miniaturized metal-insulator-metal (MIM) devices [6] show that narrow gap Mott insulators are promising members of a new class of ReRAM : the ?Mott memories?. [1] V. Guiot et al., Nat. Commun. 2013, 4, 1722 [2] V. Dubost et al., Nano Lett. 2013,13, 3648 [3] V. Ta Phuoc et al., Phys. Rev. Lett. 2013, 110, 037401 ; A. Camjayi et al., Phys. Rev. Lett. 2014, 113, 086404 [4] P. Stoliar et al., Adv. Mater. 2013, 25, 3222 [5] G. Yzambart et al., J. Am. Chem. Soc. 2012, 134, 17138. [6] J. Tranchant et al., Thin Solid Films 2013,

AA.AA.VII.4

No abstract for this day


Symposium organizers
Massimo LongoLaboratorio MDM, CNR-IMM

via C. Olivetti 2 20864 Agrate Brianza Italy

+39 039 603 5938
+39 039 688 1175
massimo.longo@mdm.imm.cnr.it
Judit Lisoniimec

Kapeldreef 75 3001 Leuven Belgium

+32 16 28 83 58
+32 16 28 18 44
Judit.Lisoni@imec.be
Damien DeleruyelleAix-Marseille Université, LM2NP / IMT Technopôle de Château Gombert

38, rue Frédéric Joliot Curie 13451 Marseille Cedex 20 France

+33 (0) 491 054 777
+33 (0) 491 054 782
damien.deleruyelle@im2np.fr
Ludovic Gouximec

Kapeldreef 75 3001 Leuven Belgium

+32 16 28 87 47
+32 16 28 18 44
Ludovic.Goux@imec.be
Dafiné RavelosonaNanoElectronics - Department Institut d'Electronique Fondamentale

Université Paris Sud - Bât 220 France

+33 1 69155540
+33 1 69157840
dafine.ravelosona@u-psud.fr