preview all symposia

Semiconductors

K

Group IV semiconductors materials research - growth, characterization and applications to electronics and spintronics

The major challenges for fundamental research and technological development are no more confined to bulk silicon and silicon devices only, but to a variety of silicon-based structures, such as alloys, nanostructured and nanocomposite materials, composite systems, thin and thick films, and heteroepitaxy on patterned silicon substrates. Their performances are still driven by defect engineering procedures, novel growth techniques, and advanced diagnostics tools. Point defects and extended defects remain in the center of interest for both electronic and photovoltaic applications; where in some cases their engineering represents an option for new functionalities, such as the case of spintronic devices.

This symposium will include, but will not be exclusively limited to, the following topics:

Crystal growth

  • Modeling of defect generation and modeling of crystal growth
  • Crystal growth for solar applications
  • Control of carbon, phosphorous and boron in solar grade silicon
  • Growth of group IV alloy crystals
  • Wafering technologies and defect evolution in wafering processes
  • Large diameter crystal growth with emphasis on 450mm diameter wafers
  • Low quality polycrystalline silicon refinement

Heteroepitaxy on silicon

  • Selective epitaxy for advanced electronic applications
  • Strain engineering in strained layer epitaxy
  • Heterogeneous integration of Si or Ge with II-V epitaxial device quality layers
  • Defects at heteroepitaxial merging on patterned Si
  • Epitaxial deposition of nitrides and SiC on silicon substrates
  • Novel methods for the growth of graphene, silicene and germanene
  • Modelling and simulation of epitaxial structures

Epitaxial growth of group IV semiconductors

  • Selective epitaxy for advanced electronic applications
  • Strain engineering in strained layer epitaxy
  • Heterogeneous integration of Si or Ge with II-V epitaxial device quality layers

Thin layer technology

  • Deposition of amorphous and crystalline thin layers
  • Silicon membranes
  • Layer deposition for electronic and photovoltaic applications

Basic research on point defects and extended defects

  • Defects causing light induced degradation of solar silicon
  • Vacancy and interstitial related point defect complexes with oxygen, nitrogen, carbon, and hydrogen
  • Complexes of dopants with intrinsic point defects and light elements
  • Diffusivity and co-doping of light elements
  • Modelling and simulation of extended defects

Gettering and defect engineering

  • Gettering of metallic impurities and impurity precipitation in silicon
  • Interaction of metals with dopants and impurity atoms
  • Defect engineered and defect-free silicon wafers
  • Dislocation engineering by substrate and process optimization

Technological applications for group IV semiconductors

  • Thin layer and multilayer solar cells
  • High speed and high frequency electronic devices
  • Power devices
  • SOI and sSOI devices
  • Silicon-based light emitting devices
  • Thermo-mechanical systems

Fundamental and applications of Group IV spintronics

  • Spin current generation, manipulation, and detection
  • Optical orientation and spin-photon interfaces
  • Spin relaxation, decoherence and dephasing
  • Spin-orbit interaction and Rashba field
  • Spin properties of vacancies in diamond, SiC and of atomic defects
  • Spin-dependent properties of graphene, silicene, germanene and stanene
  • Spin Qubits in nanostructures

Preliminary list of invited speakers:

  • Cor Claeys, IMEC, Belgium
    “Technology Development Challenges for Advanced Group IV semiconductor Devices” (Plenary Talk)
  • Fabio Isa, ETH Zurich, Switzerland
    “Defect engineering in the epitaxial growth of 3D SiGe crystals on Si and their merging to a suspended film”
  • Giovanni Isella, L-NESS, Politecnico di Milano, Italy
    “Spin current generation, manipulation, and detection”
  • Matthieu Jamet, CEA/INAC Grenoble, France
    “Spin-orbit interaction and Rashba field”
  • Brian Julsgaard, Aarhus University, Danmark
    “Optimizing the plasmonic enhancement of infrared up-conversion in solar cells”
  • Guy Le Lay, Aix-Marseille University, France
    “From single to few layer germanene with Dirac cones”
  • Natalio Mingo, LITEN/LCH, CEA-Grenoble, France
    “Thermo-mechanical properties of Si-Ge”
  • Salvo Mirabella, University of Catania, Italy
    “Mechanisms of boron diffusion in silicon and germanium”
  • Maksym Myronov, Warwick University, UK
    “Germanium spintronics”
  • Satoko Nakagawa, Global Wafers, Japan
    “Quantitative Analysis of Low-Concentration Carbon in Silicon Wafers by Luminescence Activation Using Electron Irradiation”
  • Kazuo Nakajima, FUTURE-PV Innovation, JST Koriyama Site, Japan
    “Growth and characteristics of Si ingots for solar cells with the large diameter and diameter ratio using a small crucible by noncontact crucible method”
  • Yutaka Ohno, Tohoku University, Japan
    “Three-dimensional evaluation of segregation ability at grain boundaries in Si by atom probe tomography combined with transmission electron microscopy”
  • Geoffrey Pourtois, IMEC, Belgium
    “Atomistic simulations, keys to gain insights in silicon and germanium process developments”
  • Eddy Simoen, IMEC Belgium
    “Defect engineering for shallow n-type junctions in germanium: facts and fiction”
  • Talid Sinno, University of Pennsylvania, USA
    “Atomistic simulations of carbon-defect interactions in silicon”
    Takahide Sugiyama, Toyota Central R&D Labs, Japan
    “Electrical characterization of crystal defects and carrier traps in silicon power devices of hybrid vehicles”
  • Shinji Togawa, SUMCO Corporation, Japan
    “Characteristics of FZ-Si wafers as a material of power devices”

Scientific Committee:

Sergio Pizzini (University Milano-Bicocca, Italy), Simona Binetti (University Milano-Bicocca, Italy), Katerina Dohnalova (Van der Waals-Zeeman Institute, Amsterdam, Netherlands), Stefan Estreicher (Texas Tech University, Lubbock, USA), Xinming Huang (JA Solar, China), Giovanni Isella (L-NESS, Politecnico di Milano, Italy), Koichi Kakimoto (Kyushu University), Eddy Simoen (IMEC Leuven, Belgium), Bengt G. Svensson (University of Oslo, Norway), Michio Tajima (Meiji University, Japan), XI Xiao (State Key Laboratory of Optical Communication Technologies and Networks, Wuhan Research Institute of Posts & Telecommunications, China)

Deadline for abstract submission: January 15, 2016

Proceedings: Physica Status Solidi

Start atSubject View AllNum.Add
 
Opening : -
14:00 K.1.1
 
Electronic Device Technology I : Leo Miglio and Guy Le Lay
14:20
Authors : C. Claeys, N. Collaert, H. Mertens, J. Mitard, R. Rooyackers, E. Simoen, A. Vandooren, A. Veloso, N. Waldron, L. Witters and A. Thean
Affiliations : C. Claeys1,2 , N. Collaert1, H. Mertens1, J. Mitard1, R. Rooyackers1, E. Simoen1, A. Vandooren1, A. Veloso1, N. Waldron1, L. Witters1 and A. Thean1 1imec, Leuven, Belgium 2E.E. Dept., KU Leuven, Leuven, Belgium

Resume : Advanced devices are not only driven by minimum device geometry, performance enhancement and cost issues, but also require a low power consumption. This is achieved by optimizing process modules, introduction of new materials and modified device concepts. This led to the implementation of stress engineering, ultra-shallow junctions, gate-stacks with EOT’s below 1 nm, optimization of process sequences (e.g. gate-first versus replacement gate or gate-last), raised source/drain for resistance control, etc. Improved drive currents and electrostatic control triggered the exploration of Multi-gate devices (MuGFETs). For scaled-down technologies, FD technologies with ultra-thin body and buried oxide (UTBB SOI) have demonstrated their strong potential down to the 14 nm mode. At those dimension there exists a strong competition between planar UTBB SOI and bulk FinFETs. Tunnel-FETs (TFETs), relying on band-to-band-tunneling and allowing to achieve steep subthreshold swings are studied. Both horizontal and vertical TFET approaches are emerging as post-CMOS alternatives. Further scaling leads to gate-all-around and nanowire devices. Optimized epitaxial growth techniques resulted in the fabrication of Ge (p-channel), III-V (n-channel) or hybrid Ge/III-V devices on a Si substrate. These high mobility materials are also implemented in TFET and nanowire structures. The paper reviews the status and the challenges of several of these advanced technologies and outlines potential limitations.

K.2.1
15:00
Authors : Fabio Pezzoli [1], Anna Giorgioni [1], Kevin Gallacher [2], Fabio Isa [3], Paolo Biagioni [4], Ross W. Millar [2], Eleonora Gatti [1], Emanuele Grilli [1], Giovanni Isella [4], Douglas J. Paul [2], Leo Miglio [1]
Affiliations : [1] LNESS and Dipartimento di Scienza dei Materiali, Università degli Studi di Milano-Bicocca, via Cozzi 55,I-20125 Milano, Italy; [2] University of Glasgow, School of Engineering, Rankine Building, Oakfield Avenue, Glasgow G12 8LT,United Kingdom; [3] Laboratory for Solid State Physics, ETH Zurich, Otto-Stern-Weg 1, CH-8093 Zürich, Switzerland; [4] L-NESS, Dipartimento di Fisica del Politecnico di Milano, Piazza Leonardo da Vinci 32, 20133 Milano, Italy.

Resume : Silicon offers a compelling platform for developing hybrid architectures that exploit novel functionalities. Heteroepitaxial growth of Ge on Si is a prominent approach to tailor material properties to achieve this goal. However, designing Ge-based heterostructures, which fulfill ever-demanding photonic and electronic applications, demands crucial control over the unavoidable non-radiative recombinations occurring at free surfaces and growth defects like dislocations. Yet the mitigation over such parasitic optical activity remains an open issue. Here we tackle this problem and demonstrate a more than 2 orders of magnitude photoluminescence (PL) enhancement achieved via confinement of threading dislocations and carefully controlled surface passivation of micron-scale Ge on Si crystals. By spectrally resolving interband and dislocation-related PL, we underpin the role played by dislocations in limiting the radiative emission, and we identify effective solutions based upon bandgap engineering to further boosting light emission efficiency. Noticeably, by combining steady state and time-resolved PL we disentangle non-radiative channels due to free surfaces and dislocations, eventually shining light on their relative impact at various temperature regimes. These findings have the potential of being beneficial for numerous applications of Ge-based heterostructures, in particular for moving forward their exploitation within the fast-growing field of Si-photonics.

K.2.2
15:30
Authors : G. Mugny, F.G. Pereira, O. Nier, M.-A. Jaud, D. Rideau, F. Triozon and C. Delerue
Affiliations : STMicroelectronics, 850 Rue J.Monnet, 38926 Crolles, France; CEA-LETI, Minatec Campus, 17 Rue des Martyrs, 38054 Grenoble Cédex 9, France; IEMN - Dept. ISEN, UMS CNRS 8520, 41 Bd. Vauban, 59046 Lille Cédex, France

Resume : We perform simulation of Silicon and Silicon-Germanium thin-body SOI and strained SOI MOSFET structure corresponding to the 14nm technologicial node. We compare "standard" TCAD simulations accounting for empirical mobility and density-gradient models with quantum-corrected drift diffusion simulations, where the quantum confinement normal to the channel is taken into account by solving Schroedinger equation within the 6-band k.p model, and the mobility is calculated with the so-called Kubo-Greenwood method accounting for local Coulomb, surface roughness, alloy and phonons scatterings. The empirical TCAD models are calibrated on recent experimental data and advanced solvers and the difficulty to calibrate them is pointed out. We therefore show the need for physically meaningful models in industrial TCAD framework to tackle the effects of strain and Germanium on the hole mobility. The impact of the mobility models used in the LDD region on the overall current is also investigated.

K.2.4
 
Electronic Device Technology II : Cor Claeys and Fabio Isa
16:15
Authors : Guy LE LAY
Affiliations : Aix-Marseille University, CNRS, PIIM UMR 7345, Campus de Saint Jérôme, 13397 Marseille Cedex, France

Resume : Silicene and germanene, graphene’s group IV nearest elemental cousins, have attracted enormous interest since the advent of silicene in 2012 [1]. These novel synthetic two-dimensional (2D) Si and Ge allotropes are artificially created since, at variance with graphene, which descents from graphite, they have no parent crystal in nature. They are viewed as promising candidates for ultimate scaling of nanoelectronic devices [2,3]. The recent fabrication of the first silicene field effect transistors with ambipolar characteristics operating at room temperature clearly demonstrates their potential as emerging 2D electronic materials [4]. In this invited talk, I will present the seminal 3x3 silicene phase formed on a silver (111) substrate [1], its sister phases and the growth of multilayer silicene, which is stable in ambient air, protected by its ultra-thin native oxide [5]. I will further address the synthesis of single [6,7] and multilayer germanene, which hosts Dirac fermions [8,9] and which are theoretically considered as near room temperature 2D topological insulators [10]. Silicene and germanene, which are directly compatible with the current semiconductor industry, could lead to the development of a new class of low energy consumption nanoelectronic devices. 1. P. Vogt et al., Phys. Rev. Lett., 108, 155501 (2012). 2. A. Dimoulas, Microelectronic Engineering, 131, 68 (2015). 3. G. Le Lay, Nature Nanotechnology, 10, 202 (2015). 4. Li Tao et al., Nature Nanotechnolgy, 10, 227 (2015). 5. P. De Padova et al., 2D Mater., 1, 021003 (2014). 6. M.E. Dávila et al., New J. Phys., 16, 095002 (2014). 7. M. Derivaz et al. Nano Lett., 15, 2510 (2015). 8. M. E. Dávila and G. Le Lay, Sci Rep., in revision. 9. L. Zhang, P. Bampoulis, A. van Houselt, and H. J. W. Zandvliet, Appl. Phys. Lett., 107, 111605 (2015). 10. M. Ezawa, J. Phys. Soc. Jpn., 84, 121003 (2015).

K.3.1
16:45
Authors : E. Simoen, M. Schaekers, J. Liu, J. Luo, C. Zhao, K. Barla and N. Collaert
Affiliations : Imec, Kapeldreef 75, B-3001 Leuven, Belgium; Key Laboratory of Microelectronics Devices and Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, 3 Beitucheng West Road, Chaoyang District, Beijing, PR China

Resume : Achieving highly activated shallow n-type junctions in germanium remains challenging, in spite of the research efforts spent in the past decade (for recent overviews, see Refs [1,2]). Especially in the case of ion implantation, followed by an activation anneal, limited maximum activation (~5×1019 cm-3 for P) and concentration-enhanced dopant diffusion are well-known issues. However, based on the extensive knowledge which has been gathered on this topic, several schemes for point-defect engineering have been proposed [2]. Most of these methods are based on controlling the excess vacancy (V) and dopant-vacancy (D-V) pairs which are responsible for the fast transport and moderate activation [3,4]. It is the aim of the present paper to critically review the different proposed schemes, with their weak and strong points and discuss their feasibility for Ge-based CMOS and photonic devices. A first method concerns the use of co-implantation with inactive impurities like F, C or N. From first-principles calculations it is expected that F is the best choice, given the highest binding energy with V [5]. Diffusion control can be achieved by the trapping of D-V pairs by the implanted F traps. The penalty paid for achieving a shallow junction is the reduced degree of activation, as the formed F-, N-, or C-D-V clusters are inactive or may form the nuclei for further P-V clustering and inactivation. The same applies for double implants based on As+P (or Sb+P). It implies that a careful tuning of the implantation parameters is required for optimizing such co-implantation schemes in Ge. This is illustrated for the case of P+C co-implants in p-type Ge, where suppression of the enhanced diffusion can be obtained, for a similar activation level, provided the C energy and dose are optimized [8]. A second class of methods relies on native point defect management by creating an excess self-interstitial concentration. It has been shown that for the case of B in Ge, which diffuses via self-interstitials, injection of Gei causes enhanced diffusion of B. It is speculated that the opposite would happen for the n-type dopants in Ge [1],[2]. Self-interstitial injection in Ge through thermal oxidation is not so easy, given the instability of GeOx. Alternatively, it has been shown that oxygen implantation followed by a 650 oC anneal results in the formation of internal GeOx nanoclusters which inject interstitials and enhances B diffusion. While this is not so practical for the formation of n-type source/drain junctions, one can consider to use the interstitial clusters formed at the end-of-range of an amorphizing implantation after a low temperature anneal (<400 oC) [9,10]. Here the concept of a two-step annealing scheme in order to control the enhanced P-diffusion will be tested. References [1] J. Vanhellemont and E. Simoen, Mater. Sci. Semicond. Process., 15, 642 (2012). [2] A. Chroneos and H. Bracht, Appl. Phys. Rev., 1, 011301 (2014). [3] S. Brotzmann and H. Bracht, J. Appl. Phys., 103, 033508 (2008). [4] E. Simoen and J. Vanhellemont, J. Appl. Phys., 106, 103516 (2009). [5] A. Chroneos, R.W. Grimes, and H. Bracht, J. Appl. Phys., 106, 063707 (2009). [6] A. Chroneos, B.P. Uberuaga, and R.W. Grimes, J. Appl. Phys., 102, 083707 (2007). [7] A. Chroneos, R.W. Grimes, B.P. Uberuaga, and H. Bracht, Phys. Rev. B, 77, 235208 (2008). [8] J. Liu, J. Luo, C. Zhao, E. Simoen, to be submitted to J. Appl. Phys. [9] S. Mirabella et al., J. Appl. Phys., 113, 031101 (2013). [10] N. Zographos and A. Erlebach, Phys. Stat. Sol. (A), 211, 143 (2014).

K.3.2
17:15
Authors : Monica Bollani(1), Daniel Chrastina(2),Daniele Scopece(3,4), Michael Barget(3), Valeria Mondiali (1,2) Jacopo Frigerio (2) Mario Lodari(1,2) Fabio Pezzoli (3) Francesco Montalenti(3), Emiliano Bonera(3)
Affiliations : (1) IFN-CNR, L-NESS, via Anzani 42, 22100 Como, Italy (2) L-NESS, Dipartimento di Fisica, Politecnico di Milano, Polo di Como, via Anzani 42, 22100 Como, Italy (3) L-NESS, Dipartimento di Scienza dei Materiali, Universita di Milano–Bicocca, via Cozzi 55, I-20125 Milano, Italy (4) Empa - Swiss Federal Laboratories for Materials Science and Technology, Ueberlandstrasse 129, 8600 Dubendorf, Switzerland

Resume : Tensile strain in Ge can modify its band structure reducing the separation between the indirect and the direct conduction band minima. The transition to a direct band-gap material is obtained with the application of 4% uniaxial strain along the [100] direction to a Ge(001) layer. In this work, SiGe structures fabricated with a top-down approach have been used as stressors for the generation of edge forces and high strain in the substrate. A strained Si1-xGex film has been grown on a relaxed Ge buffer on Si(001) substrates. The nanostressors, in the form of long ridges separated by spacings of a few tens of nanometers, have been realized by electron-beam lithography and reactive ion etching. The fabrication process has been optimized in order to maximize the strain. μRaman spectroscopy has been used to obtain information on the strain state. In addition, finite-element method simulations suggest that stressors patterned on a membrane instead of a virtual substrate would allow to obtain much larger regions with 4% tensile strain. In order to realize Ge bridges on a Si(001) substrate, a combination of dry and wet-anisotropic etching has been used. A systematic study of the etching parameters has been carried out for different Ge contents of the SiGe capping layer, in order to selectively etch the Si substrate and not the SiGe layer and, finally, to realize free-standing Ge structures for new class of tensile Ge micro structures.

K.3.3
17:30
Authors : A. Bonnevialle(1, 2, 3), S. Reboh(2), C. Le Royer(2), Y. Morand(1), J.-M. Hartmann(2), D. Rouchon(2), J.-M. Pedini(1), C.Tabone (2), N. Rambal(2), A. Payet(1,2), C. Plantier(2), F. Boeuf(1), M. Haond(1), A. Claverie(3), M. Vinet(2)
Affiliations : 1 STMicroelectronics, 850 rue Jean Monnet, 38926 Crolles, France 2 CEA, LETI, MINATEC Campus, F-38054 Grenoble, France 3 CEMES-CNRS, 29 rue J. Marvig 31400 Toulouse

Resume : Strain boosters are an effective way to improve carrier mobility and performance of advanced CMOS FDSOI generation. Hole mobility is higher for pFET using compressive Si or SiGe channels whereas electron mobility is higher for nFET using tensile Si. We present an alternative technique to sSOI substrates (wafer approach) which is a localized version of the STRASS process enabling to preserve pFET properties. The efficiency of the “Strained Silicon by Top Recrystallization of Amorphized SiGe on SOI” technique has been previously successfully demonstrated on unpatterned SOI substrates ( 1.6GPa). Here we demonstrate a simple and efficient STRASS module integration on advanced FDSOI route (14nm design rules) which allows to cointegrate tensile Si areas for nFETs and unchanged pFETs areas. After pFET have been protected (SiN), the STRASS technique has been applied to SOI nFET patterns. This process requires SiGe (30%) epitaxy, buried amorphization by ion implantation, low temperature recrystallization and SiGe removal. Raman Spectroscopy is used to characterize the stress of the Si nFET with respect to process conditions (implantation, active areas dimensions…). Moreover the mechanisms of SiGe relaxation will be discussed as function of device dimensions and SiGe layer properties (thickness, Ge content). We demonstrate the successful integration of localized STRASS module: tensile Si patterns (for nFETs) with a level of stress of 1.6GPa, cointegrated with unmodified pFET patterns.

K.3.4
17:45
Authors : Thomas David, Luc Favre, Jean-Noël Aqua, Abdelmalek Benkouider, Martiane Cabie, Thomas Neisius, Marco Abbarchi, Antoine Ronda, Kailang Liu, Isabelle Berbezier
Affiliations : Thomas David (Aix Marseille Université, CNRS, Université de Toulon, IM2NP UMR 7334, 13397, Marseille, France); Luc Favre (Aix Marseille Université, CNRS, Université de Toulon, IM2NP UMR 7334, 13397, Marseille, France); Jean-Noël Aqua (Institut des Nanosciences de Paris, Université Pierre et Marie Curie Paris 6, CNRS UMR 7588, 4 place Jussieu, 75252 Paris, France); Abdelmalek Benkouider (Aix Marseille Université, CNRS, Université de Toulon, IM2NP UMR 7334, 13397, Marseille, France); Martiane Cabie (Aix-Marseille Université, CP2M, 13397, Marseille, France); Thomas Neisius (Aix-Marseille Université, Fédération de Recherche en Sciences Chimiques de Marseille, 13397, Marseille, France); Marco Abbarchi (Aix Marseille Université, CNRS, Université de Toulon, IM2NP UMR 7334, 13397, Marseille, France); Antoine Ronda (Aix Marseille Université, CNRS, Université de Toulon, IM2NP UMR 7334, 13397, Marseille, France); Kailang Liu (Aix Marseille Université, CNRS, Université de Toulon, IM2NP UMR 7334, 13397, Marseille, France); Isabelle Berbezier (Aix Marseille Université, CNRS, Université de Toulon, IM2NP UMR 7334, 13397, Marseille, France)

Resume : Strain engineering of IV-IV SiGe/Si heterostructures is seen as a cost-effective way to improve the properties of materials. Two tracks have been recently explored to produce strain engineering: the fabrication of stretchable nanomembranes and the use of stressors. In this study, we first show the influence of elastic constants and pre-strain of a compliant substrate on the development of the instability and on the nucleation of dislocations. We demonstrate that the softness of the compliant substrate significantly enhances the growth rate of the ATG instability without noticeably affecting the dislocation nucleation rate, while a relatively small tensile pre-strain overcompensates the effect of the softness and inhibits both the development of the instability and the nucleation of misfit dislocations. Theoretical predictions are supported by experimental results obtained on a model substrate. A noticeable difference is obtained when we fabricate SiGe by condensation. This process fully inhibits the ATG instability and the nucleation of dislocations. The flat, fully strained (Si)Ge layers fabricated present an excellent structural quality, very good reproducibility and a tight control over the in-plane strain. Furthermore, the exceptionally high thickness attainable without elastic or plastic relaxation, results in structures with accurately controllable features unlike layers obtained by deposition.

K.3.5
18:00
Authors : N. M. Kolomiiets (a), V.V. Afanas’ev (a), O. Madia (a), H. Arimura (b), D. Cott (b), N. Collaert (b), A. Thean (b), A. Stesmans (a)
Affiliations : (a) Department of Physics and Astronomy, University of Leuven, Celestijnenlaan 200D, 3001 Leuven, Belgium; (b) Imec, Kapeldreef 75, 3001 Leuven, Belgium

Resume : The concept of interface dipoles is widely invoked in explaining variations of electric field at the surface of semiconductors caused by doping of the insulating overlayer(s). However, the experimental evidence for the existence of the dipoles is usually based on the flatband point (or threshold voltage) measurements which lack sufficient capability to distinguish the impact of a dipole from the effect of field induced by uncompensated charges in the insulator. In this work, we used internal photoemission (IPE) of electrons to examine the microscopic mechanism of interface barrier modification by introducing a 0.5-nm thick Lanthanum (La) interlayer into the SiO2(1.1 nm)/HfO2 (10 nm) oxide stack on Si-passivated Ge. In these test structures, the La-doping causes significant (>1 V) negative shift of CV curves which, if interpreted as the effect of a dipole at the SiO2/HfO2 interface, would correspond to the global upshift of the HfO2 bands leading to a higher Ge/HfO2 barrier. However, IPE indicates that the energy barrier height between the Ge valence band and the HfO2 conduction band remains the same in the La-free and La-doped samples (2.75 ± 0.1 eV) excluding the dipole formation. Moreover, instead of the IPE attenuation due to a higher barrier, deposition of La between SiO2 and HfO2 leads to an increase of the IPE yield by at least one order of magnitude indicating dramatic increase in the interface barrier transparency which may be associated with conversion of the SiO2 passivating layer into La-silicate. In addition, a lower spectral threshold can be resolved in high electric fields in the IPE spectra on the La-doped samples suggesting the presence of individual positive charges in the oxide stack without facing negative countercharges in close proximity.

K.3.6
Start atSubject View AllNum.Add
09:00
Authors : T. Pingault 1, P. S. Pokam-Kuisseu 1, E. Ntsoenzok 1,2, J-P. Blondeau 1,2, A. Ulyashin 3, H. Labrim 4, B. Belhorma 4
Affiliations : 1 CEMTHI - CNRS, Site Cyclotron, 3A rue de la Férollerie, 45071 Orléans, France; 2 Université d’Orléans, Château de la Source, 45100 Orléans, France; 3 SINTEF, Forskningsveien 1, 0314 Oslo, Norway; 4 CNESTEN, Rabat, B.P. 1382, Morocco.

Resume : Because of the excessive consumption of Si by the industry, kerf-free wafering has been largely investigated for decades. Several processes are already implemented, but only two were considered in this work. Ion-cut processes use H+ implantation as a way to transfer precise and homogeneous layers of Si at depths determined by implantation energy: so-called Rp. This is a precise and effective process, but thin layers require a bonding to a stiffener. On the other hand, stress-induced processes are easy and cheap to implement: Si is deposited with a stress-inducing layer, then submitted to an important cooling, inducing the cracking of layers from about 25 to 200µm thick. These layers are rough and their thickness is difficult to control. The goal of this work is to use low-energy H+ implantation to guide the stress-induced cleavage. A thin layer of around a few hundreds nm thick of mono c-Si can then be used for the growth of good quality c-Si of any desired thickness. The process is carried out as follows: mono c-Si wafers are implanted with H+ at low energy, thermally treated to activate hydrogen diffusion, and then glued on a cheap metal layer with stress-inducing glue. Upon cooling, Si cracks at the desired depth determined by Rp. Using such process, high quality mono c-Si thin 700nm-thick layers were successfully detached from low-energy implanted silicon wafers. Post-growth of c-Si from that seed is in progress.

K.4.2
09:30
Authors : Sylvia Pokam 1, Timothée Pingault 1, Esidor Ntsoenzok 1,4, Gabrielle Regula 3, Frédéric Mazen 2, Audrey Sauldubois 4, Caroline Andreazza 4,5, Domingos De Sousa 1,4
Affiliations : 1 CEMHTI-CNRS, 3A rue de la férollerie, 45071 Orléans, France 2 CEA-LETI, MINATEC Campus, 17 rue des Martyrs, 38054 GRENOBLE, France 3 IM2NP-Université d’AIX-Marseille, Avenue Escadrille Normandie Niemen, 13397 Marseille, France 4 Université d’Orléans, rue de Chartres – Collegium ST, 45067 Orléans, France. 5 ICMN-CNRS-Université d’Orléans, 1b rue de férollerie, 45071 Orléans, France

Resume : MeV energy hydrogen implantation in silicon followed by thermal annealing has been reported as an economical kerf-less approach, that can be used to produce high quality ultra-thin silicon substrates for microelectronic and PV applications. By using this process, the successful delamination of ultra-thin (111)Si substrates with thicknesses in the range of 20 to 150 µm have been reported. However, results reported about (100)Si was less efficient. Indeed, unlike (111)Si, thin substrates obtained with (100)Si break in small pieces during the delamination process. Moreover, very little is known about the nature of extended defects responsible of the fracture after hydrogen implantation in the MeV range. This work focuses on two goals: The production of large (100)Si films with this technique, and the study of the nature and thermal evolution of the actual defects responsible of the material splitting. Hydrogen implantations have been carried out with fluences from 7x1016 to 2x1017cm-2 at energies up to 2.5MeV. We found that full delamination with large areas occurs in (100)Si only for exfoliated thicknesses higher than 50 µm. Yet, we are able to detach (100)Si layers with surfaces up to 3x3 cm². We found by TEM characterizations that the precursors defects of micro-cracks and cracks in the material are some kind of planar clusters of gas-filled lenses instead of platelets as reported in the widely studied keV energy range

K.4.4
09:45
Authors : Adeline Lanterne, Guilherme Gaspar, Yu Hu, Eivind Øvrelid, Marisa Di Sabatino
Affiliations : Adeline Lanterne, NTNU, Department of Materials Science and Engineering, Trondheim, Norway; Guilherme Gaspar, NTNU, Department of Materials Science and Engineering, Trondheim, Norway; Yu Hu, NorSun AS, Årdal, Norway; Eivind Øvrelid, SINTEF, Materials and Chemistry, Trondheim, Norway; Marisa Di Sabatino, NTNU, Department of Materials Science and Engineering, Trondheim, Norway;

Resume : The goal of this work is to increase the throughput of the Czochralski silicon pulling for solar cell applications by studying one of the main current time-consuming issues of the process: the loss of the dislocation-free (DF) growth. This issue, called structure loss, corresponds to the generation and multiplication of dislocations during the pulling. Whereas it is expected to become even more problematic in the future with the development of larger diameter ingots, no studies have recently been published on this matter. In this study, five industrial n-type Cz silicon ingots exhibiting a structure loss have been characterized with two purposes: first better understand this issue and its consequences on the already grown crystal, and second, investigate the perturbation at the origin of the generation of the first dislocation. Carrier density imaging, extended defects observation, SEM, EDS and EBSD were performed on slices cut inside the five ingots. A sharp transition between the original DF growth and a growth with dislocations was observed for all samples with variation in the propagation pattern of the slip dislocations depending on the location of the perturbation. The results show that the formation of pinhole (air pocket) can be the source of a structure loss as well as particles entering in contact with the ingot edge. Further characterizations are now ongoing to correlate the different kinds of perturbation with the habits line disappearance pattern on the edges.

K.4.5
 
Photovoltaics : Kazuo Nakajima and Sergio Pizzini
10:30
Authors : Yutaka Ohno
Affiliations : Institute for Materials Research, Tohoku University

Resume : Grain boundaries (GBs) in silicon, for photovoltaic and electronic devices, influence the device performance via impurity segregation. Accordingly, comprehensive knowledge of the GB segregation mechanism is essential for engineering the distributions and sizes of impurity-related nanostructures at GBs in controlled fashions, for producing cost-effective functional devices. The segregation mechanism is, however, far from being understood due to difficulties characterizing both crystallographic and chemical properties of the same GBs at atomistic levels. In this work, we have jointly employed atom probe tomography (APT) accompanied with atomic-resolution transmission electron microscopy (TEM) and ab-initio calculations, to comprehend the nanoscopic segregation mechanism of Σ3 [1], Σ9, and small angle GBs [2, 3] in Si. High-resolution three-dimensional (3D) impurity distributions at the GBs were determined by APT, with a low impurity detection limit (about 0.002 at.%) about two orders lower than the limit by TEM, simultaneously with a high spatial resolution comparable to the resolution of TEM (about 0.4 nm). The exact location of each GB was determined by APT [1], even when its segregation ability was quite low. The segregation ability of the GBs was discussed in terms of bond distortions of host Si atoms around the GBs. [1] Ohno, et al., Appl. Phys. Lett. 103, 102102 (2013). [2] Ohno, et al., Appl. Phys. Lett. 106, 251603 (2015). [3] Ohno, et al., Phys. Rev. B 91, 235315 (2015).

K.5.1
11:00
Authors : Lucile Dumont (1), Nicolas Guth (1), Julien Cardin (1), Christophe Labbé (1), Marzia Carrada (2), Ing-Song Yu (3), Fabrice Gourbilleau (1).
Affiliations : 1. CIMAP, CNRS/CEA/ENSICAEN/UNICAEN, 6 boulevard Maréchal Juin, 14050 Caen Cedex 4, France. 2. CEMES-CNRS, 29 rue J. Marvig, 31055 Toulouse, France 3. Department of Materials Science and Engineering, National Dong Hwa University, Hualien, Taiwan.

Resume : Increasing solar cell (SC) efficiency is a key issue for proposing energy harvesting solutions in the forthcoming years. One way consists in reducing thermalization effect due to the mismatch between photogenerated carriers by UV part of the solar spectrum and the Si SC band gap. For that, Down Conversion (DC) layers have been developed with the aim of converting one UV photon into two IR ones that can be absorbed by the SC. The fabricated DC layers containing the Tb3+:Yb3+ couple allow the absorption of energetic photons in the UV range and the emission of IR photons at 980 nm. To overcome the low absorption cross section of Tb3+ ions, a compatible Si-PV process has been developed. A SiNx host matrix that can excite efficiently the Tb3+ ions has been grown by reactive sputtering approach with the objective of having optical characteristics close to those of the antireflective layer used on the Si SC. After an optimization of the SiNx matrix to manage (i) a wide absorption range, (ii) an efficient sensitizing role towards the Tb3+ ions and (iii) good antireflective properties, deposition conditions have been found to achieve the highest emission intensity when introducing the Tb3+:Yb3couple in this matrix. Quantum efficiency up to 200% has been obtained in either composite or multilayer structures. This latter allows to improve the coupling rate between Tb3+ and Yb3+ and therefore the number of emitted photons at 980nm. EQE measurements performed on a SC will be presented.

K.5.2
11:30
Authors : Agnieszka Paszuk, Oliver Supplie, Marek Duda, Matthias Steidl, Christian Koppka, Sebastian Brückner, Anja Dobrich, Peter Kleinschmidt, Thomas Hannappel
Affiliations : TU Ilmenau, Institute for Physics, Photovoltaics, Ilmenau, Germany

Resume : The integration of III-V compound semiconductors with Si substrates is promising for high efficiency tandem solar cells. Preparation of Si bottom cells by metalorganic chemical vapor deposition requires control over the doping. Phosphorus (P) in-diffusion into crystalline Si is a complex process, but has been shown suitable to form a working emitter [1]. Arsenic (As) in-diffusion on the other hand is interesting since Si surfaces terminated with As enable the preparation of B-type GaP/Si(111) [2], well-defined heteroepitaxy on As-modified Si(100) [3], and As-based planar graded buffer layers. Here, we focus on As and P in-diffusion and its impact on the Si surface, which we analyze with in situ reflection anisotropy spectroscopy. Diffusion of As or P into Si is carried out by annealing the substrates under precursor supply (TBAs, TBP). Prior to diffusion, a nominally undoped, homoepitaxial Si buffer layer was grown. We investigate the diffusion in dependence on duration and temperature of the annealing step, precursor source, reactor pressure and post diffusion annealing. Annealing the Si surface in presence of the precursors results in surface roughening and a disordered surface. Subsequent annealing without precursor supply leads to a re-ordered dimerized surface suitable for a further heteroepitaxy. [1] E. García-Tabarés et al., CPV-8, AIP Conf. Proc. 1477, 5 (2012) [2] A. Paszuk et al., Appl. Phys. Lett. 106, 231601 (2015) [3] O. Supplie et al., APL Mater. 3, 126110 (2015)

K.5.4
11:45
Authors : Junkang Wang, Federico Ventosinos, Christophe Longeaud, Bastien Bruneau, Dmitri Daineka, Mustapha Elyaakoubi, Emmanuel Stephanos, Jean-Paul Kleider, Erik Johnson
Affiliations : Junkang Wang, LPICM-CNRS, Ecole Polytechnique, Palaiseau, France; Federico Ventosinos, LPICM-CNRS, Ecole Polytechnique, Palaiseau, France; Christophe Longeaud, LGEP-CNRS/SUPELEC, Gif-sur-Yvette, France; Bastien Bruneau, LPICM-CNRS, Ecole Polytechnique, Palaiseau, France; Dmitri Daineka, LPICM-CNRS, Ecole Polytechnique, Palaiseau, France; Mustapha Elyaakoubi, TFSC-Instrument, Palaiseau, France; Emmanuel Stephanos, TFSC-Instrument, Palaiseau, France; Jean-Paul Kleider, LGEP-CNRS/SUPELEC, Gif-sur-Yvette, France; Erik Johnson, LPICM-CNRS, Ecole Polytechnique, Palaiseau, France

Resume : Tailored Voltage Waveforms (TVWs) - a non-sinusoidal waveform excitation technique - provide an elegant solution to the issue of ion energy-flux coupling in RF-CCP processes. When it is used in a low temperature PECVD system, the control over ion bombardment energy can be directly translated to the growth mechanism of μc-Si:H. To advance knowledge on its further application for thin film deposition, we present studies looking at a-Si:H deposition using TVWs. The steady state photocarrier grating (SSPG) method is employed to characterize the samples’ ambipolar diffusion length (Ld). We underline recently obtained results concerning the electrical properties of the films: (1) both the initial and stable (after light-soaking, LS) values of Ld decrease continuously when varying the waveform from “peaks” to “valleys”, (2) two types of “sawtooth (up and down)” waveform lead to values of Ld that lie outside of the trend described in (1), with “sawtooth-up” showing much lower Ld and “sawtooth-down” showing the opposite, and (3) “sawtooth-down” waveform also gives the best stability of Ld after LS. Furthermore, the samples have been studied by modulated photoconductivity (MPC), revealing more specific details about the materials' sub-gap density of states. These studies, along with the plasma study (effect of ion flux asymmetry, ionization asymmetry…), allow us to gain more insights into making a-Si:H solar cells with improved stability.

K.5.5
12:15
Authors : Ajit K. Katiyar, S. Mukherjee, M. Zeeshan, Samit. K. Ray and A. K. Raychaudhuri
Affiliations : Indian Institute of Technology Kharagpur; Indian Institute of Technology Kharagpur; Indian Institute of Technology Kharagpur; Indian Institute of Technology Kharagpur; S.N. Bose National Center for Basic Sciences

Resume : We show that a significant enhancement of solar cell efficiency can be achieved in cells fabricated on Black Si made using Inductively Coupled Plasma - Reactive Ion Etching (ICP-RIE). The ICP-RIE fabricated black Si results in an array of vertically oriented defect free Si nanocones (average height ~150 nm, apex diameter ~25 nm) exhibiting an average reflectance ≤ 2% over most of the relevant solar spectral range. The enabling role of the ultra low reflectance of the nanostructured black Si has been demonstrated using a heterojunction solar cell fabricated by depositing a n-type CdS film on p-Si nanocones followed by a transparent conducting coating of Al doped ZnO (AZO). The fabricated n-CdS/ p-Si heterojunction exhibits promising power conversion efficiency close to 3 %, up from a mere efficient 0.15% for a similar cell fabricated on a planar Si. The effect of fabrication process for the Black Si on solar cell performance has been investigated through the measurements of carrier lifetime and surface recombination velocity. The accompanying model and simulation analysis shows that the conical structure leads to the effective dielectric constant vary smoothly from the value of the air at top to the value of Si at base, over the length of the nanocone leading to substantial reduction to its reflectance.

K.5.7
 
Theory : Chioko Kaneta and Talid Sinno
14:00
Authors : G. Pourtois1,4, S.K. Dhayalan1,2, X. Xu1, H. Arimura1, N. Vrancken1,5, A. Lu1,3, E. Simoen1, S. Sioncke1, J. Mitard1, R. Loo1, M. Houssa2, A. Stesmans2, M. Caymax1, W. Vandervorst1,2, S. De Gendt1,3 and N. Collaert1
Affiliations : 1 imec vzw, Kapeldreef 75, 3001 Heverlee, Belgium;2 Department of Physics and Astronomy, University of Leuven, Celestijnenlaan 200D, B-3001 Leuven, Belgium; 3 Department of Chemistry, Celestijnenlaan 200 F, B-3001 Leuven (Heverlee) Belgium; 4 PLASMANT, Department of Chemistry, Universiteitsplein 1, University of Antwerp, 2610 Antwerp, Belgium; 5 Department of Materials and Chemistry, Pleinlaan 2, Vrije Universiteit Brussel, 1050 Elsene, Belgium

Resume : The ever increasing complexity of the transistor required for its performance improvement and realized through scaling has led to the development of creative solutions in terms of material engineering such as the tuning of the channel mobility by strain or by the introduction of germanium as an active material. To further sustain these improvements, it is compulsory to understand the factors that define the properties of materials at the atomic scale. Therefore, atomistic simulations offer complementary insights to physical and electrical characterization techniques. We will illustrate the recent understandings gained using these modeling approaches for the structural and electronic properties of silicon capped germanium channels and of Si:P highly doped stressor source. The passivation problems of interfacial defects in germanium based transistor will be briefly discussed and the modulation of the interface electronic properties by the addition of a few monolayers of silicon will be reviewed. Next, we will sketch the knowledge gained in the structure of in-situ doped Si:P films for source-drain stressors of n-FinFets and how the formation of phosphorous-vacancy couples explains the tensile strain built in the film. Finally, the applicability of this approach will be demonstrated for the wetting issues met for high aspect ratio nanowires, where molecular dynamics has been used to build a correlation between the surface defect density of nanowires and its wetting properties.

K.6.1
14:30
Authors : Michele Amato [1], Matteo Bertocchi [2], Stefano Ossicini [3]
Affiliations : [1] Institut d'Electronique Fondamentale, UMR 8622, CNRS, Univ. Paris-Sud, Université Paris-Saclay, 91405 Orsay, France; [2] Dipartimento di Scienze e Metodi dell'Ingegneria, Università di Modena e Reggio Emilia, Via Amendola 2 Pad. Morselli, I-42122 Reggio Emilia, Italy; [3] Dipartimento di Scienze e Metodi dell'Ingegneria, Università di Modena e Reggio Emilia, Via Amendola 2 Pad. Morselli, I-42122 Reggio Emilia, Italy and CNR-Istituto di Nanoscienze-S3, via Campi 213 A,I-41125 Modena, Italy

Resume : Accurate knowledge of the work function is an essential information in designing novel and efficient electronic devices. This assumes particular importance in the case of complex alloyed materials, like SiGe based heterostructures, which are nowadays considered as building blocks for advanced electronic and optical systems [1-3]. A systematic theoretical study of the work function behavior for Si1-xGex heterostructures over the whole composition range, from Si (x = 0) to Ge (x = 1), is presented. Our results [4], obtained through Density Functional Theory calculations and in good agreement with experimental evidences [5], show that increasing the Ge content lowers the work function value. We fi nd that in order to exactly reproduce this behavior in relation to the work function of pure Ge and Si systems and their concentrations, a deviation from the linear Vegard's rule is necessary. However, the calculated bowing parameter is very small, thus making the simple linear interpolation a valid approximation to obtain the work function of complex SiGe alloys. [1] Amato, M. Palummo, R. Rurali, and S. Ossicini, Chem. Rev. 114, 1371 (2013) [2] D. J. Paul, Thin Solid Films 321, 172 (1998) [3] P. Chaisakul, D. Marris-Morini, J. Frigerio, D. Chrastina, M.-S. Rouifed, S. Cecchi, P. Crozat, G. Isella, and L. Vivien, Nat. Photonics 8, 482 (2014) [4] M. Amato, M. Bertocchi and S. Ossicini (submitted to J. Appl. Phys.) [5] P. Hellberg, S. Zhang, and C. Petersson, IEEE, Electron Devic. Lett. 18, 456 (1997)

K.6.2
14:45
Authors : Daniele Stradi, Umberto Martinez Pozzoni, Anders Blom, Mads Brandbyge, Kurt Stokbro
Affiliations : QuantumWise A/S, Freubjergvej 3, Postbox 4, DK-2100 Copenhagen, Denmark; QuantumWise A/S, Freubjergvej 3, Postbox 4, DK-2100 Copenhagen, Denmark; QuantumWise A/S, Freubjergvej 3, Postbox 4, DK-2100 Copenhagen, Denmark; Department of Micro- and Nanotechnology (DTU Nanotech), Technical University of Denmark, Ørsteds Plads, Building 345B, DK-2800 Kongens Lyngby, Denmark; QuantumWise A/S, Freubjergvej 3, Postbox 4, DK-2100 Copenhagen, Denmark;

Resume : Metal-semiconductor (M-SC) contacts play a pivotal role in a broad range of technologically relevant devices. Still, their characterization at the atomic-scale remains a delicate issue. One of the reasons is that the present understanding relies either on simplified analytical models often parametrized using experimental data [1], or on electronic structure simulations describing the interface using simple slab calculations [2]. Here we propose a general strategy to model realistic M-SC interfaces by using density functional theory (DFT) in combination with the non-equilibrium Green's function (NEGF) method as implemented in the Atomistix ToolKit (ATK) simulation software [3]. An accurate description of both sides of the interface is achieved by using a meta-GGA functional [4] optimally tuned to reproduce the SC measured band-gap, and a spatially dependent effective scheme to account for the presence of doping in the SC side. Compared to previous computational methods [2], the present approach has the important advantages of (i) treating the system using the appropriate boundary conditions and (ii) allowing for a direct comparison between theory and experiments by simulating the I-V characteristics of the interface. We apply this methodology to an Ag/Si interface relevant for solar cell applications, and test the reliability of traditional strategies [1,2] to describe its properties [5]. [1] S. M. Sze and K. N. Kwok, Physics of Semiconductor Devices: 3rd edition (Wiley, 2006) [2] C. G. van de Walle and R. M. Martin, Phys. Rev. B 35, 8154 (1987) [3] “Atomistix ToolKit version 2015.1”, QuantumWise A/S (www.quantumwise.com) [4] F. Tran and P. Blaha, Phys. Rev. Lett. 102, 226401 (2009) [5] D. Stradi et al. Submitted

K.6.3
15:15
Authors : N. Mingo, J. Carrete, B. Vermeersch, N. Protik, D. A. Broido, N. A. Katcho
Affiliations : CEA-Grenoble (France); CEA-Grenoble (France); CEA-Grenoble (France); Boston College (USA); Boston College (USA); Energigune (Spain);

Resume : Heat management is one of the major challenges in the development of a wide range of novel technologies. In some cases the challenge to find new structures, possibly involving advanced materials, in order to increase heat flow away from the active part: this is the case of GaN based power electronic components such as HEMTs and LEDs, non-volatile memories, or CMOS interconnects, for example; in other cases, one needs to minimize heat conduction, while satisfying other electronic or mechanical constraints: such is the case of thermoelectric energy convertors, or novel turbine coatings [1]. To respond to these needs, it is important to be able to predictively model heat transport ab-initio, i.e. without adjustable parameters, in generic multi-scale material structures which may include novel compounds. This is the goal of the AlmaBTE code, which is being developed in the frame of the Alma project ( www.almabte.eu ). In this talk I will highlight some new results from this ongoing project. First I will be presenting calculations of the cross plane thermal conductivity of crystalline and solid solution thin films, including SiGe, InGaAs, AlGaN, and the highly anisotropic SnSe [2]. The simulation unveils the existence of a distinct fractional thickness dependence regime for alloys, at thicknesses spanning over two orders of magnitude. As a second example I will discuss the effect of vacancies on the thermal conductivity of boron arsenide [3], a relatively unknown compound which has recently been identified as a potential competitor of diamond as a heat sink material [4]. [1] D. G. Cahill et al., Nanoscale Thermal Transport II: 2003-2013. Appl. Phys. Rev. 1, 011305 (2014). [2] Bjorn Vermeersch, Jesús Carrete, and Natalio Mingo, Cross-plane heat conduction in thin films with arbitrary phonon dispersions, arXiv:1512.01354 [3] N. Protik, J. Carrete, N. A. Katcho, D. Broido, and N. Mingo, to be published. [4] L. Lindsay, D. A. Broido, and T. L. Reinecke, First-Principles Determination of Ultrahigh Thermal Conductivity of Boron Arsenide: A Competitor for Diamond? Phys. Rev. Lett. 111, 025901

K.6.5
 
Lifetime Limiting Defects and Gettering of Metallic Impurities : Deren Yang and Brian Julsgaard
16:15
Authors : L.I. Murin1, S.B. Lastovskii1, E.A. Tolkacheva1, V.P. Markevich2, A.R. Peaker2, B.G. Svensson3
Affiliations : 1) Scientific-Practical Materials Research Center of NAS of Belarus, Minsk 220072, Belarus 2) The University of Manchester, Manchester M13 9PL, United Kingdom 3) Department of Physics, Oslo University, N-0318 Oslo, Norway

Resume : The interstitial boron–interstitial oxygen complex (BiOi) is one of the dominant electrically active radiation-induced defects in oxygen-rich p-type Si crystals irradiated with MeV electrons and ions at room temperature. However, the electronic properties of the BiOi center have not been understood properly, local vibrational modes (LVMs) of the defect have not been identified, and there is no consensus on its atomic structure. In the present work we report LVM-related absorption lines which we assigned to the BiOi complex. Fourier transform infrared absorption spectroscopy was used to study the boron- and oxygen-related defects in Czochralski-grown p-type Si samples irradiated with 6 or 10 MeV electrons at room temperature. The evolution of the IR absorption spectrum upon isochronal annealing in the temperature range 75-225 oC has also been studied. A set of LVM lines with the same formation and elimination behavior on annealing has been revealed. The most intense lines of the set are found to be at 991, 721 and 550 cm-1. On the basis of an analysis of changes in intensity of the lines with content of impurities and on the similarity of their annealing features with those for the DLTS signal due to the BiOi center it is argued that the lines are related to the LVMs of this defect. The lines positions have been compared with the previously reported LVMs derived from ab initio modeling calculations for different configurations of the BiOi complex. A configuration having calculated LVMs close to those determined experimentally has been found and origins of the modes are discussed.

K.7.1
16:30
Authors : K. Lauer, C. Teßmann, D. Schulze, N.V. Abrosimov
Affiliations : K. Lauer, C. Teßmann, CiS Forschungsinstitut für Mikrosensorik GmbH, Konrad-Zuse-Str. 14, 99099 Erfurt, Germany; C. Teßmann, D. Schulze, TU Ilmenau, Institut für Physik, Weimarer Str. 32, 98693 Ilmenau, Germany; N.V. Abrosimov, Leibniz-Institut für Kristallzüchtung, Max-Born-Straße 2, 12489 Berlin, Germany

Resume : We observed light induced degradation (LID) in indium doped silicon with similar kinetics as LID in boron doped silicon. Considering ab-initio simulations of one acceptor and one silicon atom sharing one lattice position in silicon an A_Si-Si_i defect model was proposed [1] and developed [2]. This model was found to explain the defect kinetics of light induced degradation in boron and indium doped silicon and to predict and explain the kinetics of a photoluminescence peak in indium doped silicon called P line [2]. Existing experimental data regarding the B_Si-Si_i case and oxygen clustering during crystal cooling were found to be in agreement with predictions of the A_Si-Si_i defect model as well [3]. To further investigate the properties of the In_Si-Si_i defect we report in this contribution on the activation energies of the different transitions within the A_Si-Si_i defect model. The activation energies are obtained by time and temperature dependent charge carrier lifetime measurements on indium doped silicon samples. In case of the fast LID component obtained activation energies are for the generation E_1,2 = (0.37±0.03) eV and for the annihilation E_2,1 = (0.60±0.17) eV. These values are larger compared to the case of boron. For the slow LID component the activation energies are for the generation E_3,4 = (0.50±0.05) eV and for the annihilation E_5,2 = (1.36±0.07) eV. These values are in frame of the error comparable to the values of the boron case. The results are discussed in frame of the A_Si-Si_i defect model. [1] C. Möller and K. Lauer, Physica Status Solidi (RRL) - Rapid Research Letters 7, 461 (2013). [2] K. Lauer, C. Möller, D. Schulze, and C. Ahrens, AIP Advances 5, 017101 (2015). [3] K. Lauer, C. Möller, D. Schulze, C. Ahrens, and J. Vanhellemont, Solid State Phenomena 242, 90 (2015).

K.7.2
16:45
Authors : V.V.Voronkov, R.Falster
Affiliations : SunEdison Semiconductor via Nazionale 59, Merano, Italy

Resume : Two boron-oxygen recombination centres – labelled FRC and SRC - are known to emerge by carrier-induced reconfiguration of some boron-oxygen latent defects. The FRC was identified as a BsO2 with a saturated concentration proportional to N C^2 where N and C is the boron and oxygen concentration, resp. For SRC, the saturated concentration [SRC] in compensated p-type is proportional to the hole concentration p rather than to N. A recent finding is that [SRC] in boron-compensated n-Si is independent either of N or of the electron concentration. A simple explanation is to assume that oxygen dimers, apart from a ground-state neutral form O2, have an excited configuration O2* that is a deep donor. The O2* dimers are frozen-in in a concentration proportional to p C2 in p-Si (when the O2* is single-positive) but just to C2 in n-Si (when the O2* is neutral). Upon further cooling the highly mobile O2* species are trapped by all the acceptors, of the total concentration Na – but only BsO2* serves as the latent defect for SRC. The general expression is thus [SRC] = (A p + B) C^2 N / Na - applicable to any p-Si or n-Si material. A permanent lifetime recovery – under illumination at around 180oC - occurs by a dissociation of BsO2*. A subsequent rebuilding of the centres in the dark occurs by a slow trapping of O2* by Bs, and by a much faster trapping by BsH (hydrogen-passivated boron). This accounts for a strong acceleration of the rebuilding (and the recovery) by hydrogen.

K.7.3
17:15
Authors : J.D. Murphy (1), A.I. Pointon (1), V.A. Shah (1), C. Morrison (2), M. Myronov (2), R.J. Falster (3)
Affiliations : (1) School of Engineering, University of Warwick, UK; (2) Department of Physics, University of Warwick, UK; (3) SunEdison, Italy

Resume : Silicon substrates for photovoltaics are typically boron doped, and most silicon contains substantial concentrations of oxygen from the silica crucible which contains the melt. The carrier lifetime in oxygen-rich boron doped silicon is well known to degrade substantially under illumination, due to the formation of a recombination centre containing boron and oxygen. The use of alternative p-type dopants, such as indium, may therefore provide a way of mitigating light induced degradation. Compared to other p-type dopants, indium has a rather deep shallow level, and consequently not all indium is ionised at room temperature. The indium which is not ionised has the potential to act as a recombination centre. We have investigated the properties of indium doped silicon by transient and quasi-steady-state photoconductance measurements, with carrier concentrations extracted from Hall effect experiments. By analysing the injection-dependence of the lifetime data, we confirm the properties of the indium-related recombination centre. We demonstrate that, for a given oxygen concentration, the as-received lifetimes in the indium doped material can exceed those in the degraded boron doped material. For typical doping and oxygen levels, a window of opportunity exists in which the negative effects of the indium-related recombination centre are smaller than the negative effects of light induced degradation in boron doped silicon.

K.7.5
17:30
Authors : Eiji Kamiyama 1,2, Koji Sueoka 1, and Jan Vanhellemont 3
Affiliations : 1 Okayama Prefectural University, 2 Globalwafers Japan, 3 Ghent University

Resume : A statistical model for describing the gettering of impurities on the atomistic scale was recently proposed [1]. This statistical model can in principle predict the thermal equilibrium concentrations and the distribution of any metallic contamination atoms in advanced wafer structures if the gettering site densities in the wafer and their binding energies for the metallic impurity atoms are known. This model has the advantage that gettering phenomena can be calculated based on ab initio calculation results. Ab initio calculations indeed allow calculating the binding energy of any kind of contamination atom at any position in crystals without the need to perform extensive experiments. The model is illustrated for the gettering of Cu atoms in a p-type Si double layer structure consisting of a moderately B doped layer, the “device layer”, and a heavily B doped layer, the “substrate”. By using a statistical approach, we predict the thermal equilibrium concentration of Cu atoms in each part of a wafer structure. The calculated results show good agreement with published experimental observations. [1] E. Kamiyama, K. Sueoka and J. Vanhellemont, ECS Journal of Solid State Science and Technology, 4, 232 (2015).

K.7.6
17:45
Authors : M.L. Polignano, A. Galbiati, S. Grasso, I.Mica, F. Barbarossa, D. Magni
Affiliations : ST Microelectronics

Resume : A procedure to measure tungsten contamination in implantation processes by DLTS (Deep Level Transient Spectroscopy) is defined and calibrated for the evaluation of tungsten contaminant dose. Tungsten-implanted samples are used in the calibration experiment. The concentration measured by DLTS increases with the tungsten dose up to 5•1010cm-2, then saturates and eventually decreases, indicating tungsten inactivation. ToF-SIMS analyses revealed tungsten at the wafer surface, showing that the observed tungsten inactivation is due to surface segregation. The SPV (Surface Photovoltage) measurement sensitivity to tungsten contamination was also tested, and it was found much lower than the DLTS sensitivity, due to the low tungsten diffusivity. The obtained calibration is used to study tungsten contamination in implantation processes. Implantations carried out in intrinsic process conditions and in a previously contaminated implanter are studied. High dose arsenic implantations are responsible for a moderate tungsten contamination, which can be easily suppressed by implanting through a screen oxide. Vice versa, the tungsten contamination induced by implantation in a previously contaminated implanter can be reduced but not suppressed by a screen oxide. The efficiency of arsenic implantation of dummy wafers as a decontamination process was tested. Finally, it was shown that TXRF (Total reflection X-Ray Fluorescence) is much less sensitive than DLTS for monitoring tungsten contamination.

K.7.7
18:00
Authors : N. Arutyunov (1,2,5), V. Emtsev (2) , R. Krause-Rehberg (1), M. Elsayed (1,4), G. Oganesyan (2), V. Kozlovski (3)
Affiliations : (1) Martin Luther University Halle, Department of Physics, 06120 Halle, Germany; (2) Ioffe Physico-Technical Institute, St. Petersburg 194021, Russia; (3) St. Petersburg State Polytechnical University, St. Petersburg 195251, Russia; (4) Minia University, Faculty of Science, Physics Department, 61519 Minia, Egypt; (5) Institute of Electronics, 700187 Tashkent, Uzbekistan

Resume : The defects produced by irradiation at RT with 0.9 MeV electrons in float-zone silicon, n–FZ–Si(P), have been probed with positrons and the positron lifetime characterizing the open vacancy volume (Vop) has been studied. Main attention is focused on the positron-sensitive defects which have been established to anneal in the temperature range of ∆Tanneal. ~ 280 ºC – 520 ºC [1]. The low-temperature Hall effect measurements performed for the same material demonstrate a predominant formation of E–centers (which are known to anneal at Tanneal. ~ 160 ºC), and strong changes of the mobility of charge carriers are believed to be associated with the radiation defects giving rise to a large-scale elastic deformations of the crystal lattice [2]; the isochronal annealing proceeds in three pronounced stages over the temperature range of ∆Tanneal. = 100 ºC – 700 ºC, and the process of restoring of the shallow donor states does not fit a simple picture of annealing of E–centers [3].///// For the first time we demonstrate for the same electron-irradiated material how the positron annihilation lifetime changes in the course of annealing. The characteristic long positron lifetime tau(2) {I(2) ≤ 38%) ≤ 294 picoseconds contributes markedly to the average positron lifetime tau (av) which depends strongly on the measuring temperature over the range of 30 K – 300 K. This is a steady dependency, it is observed for weakly pronounced two stages of the isochronal annealing over the temperature ranges of ∆Tanneal. (1st stage) ~ 80 ºC – 160 ºC and ∆Tanneal. (2nd stage) ~ 160 ºC – 280 ºC. Further annealing ranging from 280 ºC to 480 ºC (3rd stage) results in disappearing the long-lived component of the positron annihilation lifetime tau(2) ~ 294 ps, and the average positron lifetime recovers the value tau(b) characteristic of the non-irradiated material, tau (av){Tanneal.}=480 ºC) ≈ tau(b ≈217 ps. ///// This experimental fact unambiguously indicates the presence of a vacancy open volume Vop in the radiation defect. It is argued that the Vop volume does not exceed the one of a pair of vacancies. The data of low-temperature Hall effect measurements suggest involving the impurity atom (s) of phosphorus into the microstructure of the thermally stable vacancy center which, evidently, is not the E–center. In this stage of research one can not exclude a possibility of involving more than one impurity atom of phosphorus into the microstructure of the defect under investigation. ///// We put forward a hypothesis about symmetry (C3v and/or D3d) of the thermally stable center where the impurity atom of phosphorus (P) is tied to the open vacancy volume (Vop) to be probed with positrons. There are proposed plausible configurations of the center: Vs-ext –P–Vs-ext as well as Vinw – P–Vinw and P–Vinw –Vinw (Vs-ext and Vinw are an extended semi-vacancy and a relaxed inward vacancy, respectively). The cascade phonon-assisted positron trapping by the thermally stable phosphorus-vacancy complexes is considered in the light of the observed a large-scale elastic deformations of the crystal lattice [2]. Some structural properties of the thermally stable phosphorus-related centers are discussed in terms of their thermodynamic parameters of annealing.These data are also compared with the ones obtained for the same material irradiated by 15-MeV protons and subjected to the isochronal annealing [4].///// -----References--- [1]--- N. Yu. Arutyunov, M. Elsayed, R. Krause-Rehberg, V.V. Emtsev, G.A. Oganesyan and V.V. Kozlovski, Solid State Phenomena Vol. 242, 296 (2016).///// [2]---V.V. Emtsev, N.V. Abrosimov, G.A. Oganesyan and V.V. Kozlovski, Semiconductors, v. 48, 1438 (2014).///// [3]---V.V. Emtsev, N.V. Abrosimov, G.A. Oganesyan and V.V. Kozlovski, 28th Int. Conf. on Defects in Semiconductors (July 27-31, 2015, Helsinki, Finland), Book of Abstracts, 275_b (2015).///// [4]---N. Yu. Arutyunov, M. Elsayed, R. Krause-Rehberg, V.V. Emtsev, G.A. Oganesyan and V.V. Kozlovski, J. Phys.: Condens. Matter 25, 035801 (2013)/////.

K.7.8
Start atSubject View AllNum.Add
 
Spintronics I : Fabio Pezzoli and Maksym Myronov
08:30
Authors : G. Isella, F. Bottegoni, J. Frigerio, C. Zucchetti, E. Sakat, M. Bollani, S. Cecchi, A. Farina, M. Finazzi and F. Ciccacci
Affiliations : LNESS-Dipartimento di Fisica, Politecnico di Milano, Piazza Leonardo da Vinci 32, 20133 Milano, Italy; LNESS-Dipartimento di Fisica, Politecnico di Milano, Piazza Leonardo da Vinci 32, 20133 Milano, Italy; LNESS-Dipartimento di Fisica, Politecnico di Milano, Piazza Leonardo da Vinci 32, 20133 Milano, Italy; LNESS-Dipartimento di Fisica, Politecnico di Milano, Piazza Leonardo da Vinci 32, 20133 Milano, Italy; LNESS-Dipartimento di Fisica, Politecnico di Milano, Piazza Leonardo da Vinci 32, 20133 Milano, Italy; CNR-IFN and LNESS, via Anzani 42, 22100 Como, Italy; LNESS-Dipartimento di Fisica, Politecnico di Milano, Piazza Leonardo da Vinci 32, 20133 Milano, Italy; CNR-IFN and LNESS, via Anzani 42, 22100 Como, Italy; LNESS-Dipartimento di Fisica, Politecnico di Milano, Piazza Leonardo da Vinci 32, 20133 Milano, Italy; LNESS-Dipartimento di Fisica, Politecnico di Milano, Piazza Leonardo da Vinci 32, 20133 Milano, Italy;

Resume : Germanium might play a relevant role in the integration of spintronic devices on silicon. The absorption of circularly polarized light at the direct gap of Ge leads to the generation of a spin polarized electron population at the  point of the Brillouin zone. Spins are then transferred within ≈300fs, to the L-valley minima where relatively long spin lifetimes, of the order of a few ns, have been predicted and experimentally measured in bulk-Ge and Ge heterostructures . Such a unique feature of the Ge enables the design of spintronic devices where spins are optically injected at  transported trough the long-lived L-states and eventually electrically detected. We present a successful implementation of such an injection/detection scheme by using the inverse spin Hall effect (ISHE), taking place in a Pt layer, to convert the spin current optically generated in Ge and injected through the Schottky barrier at the Pt/Ge interface. Two kind of samples have been analysed: an n-type (n(P)≈2×1016cm-3) Ge wafer and a Ge/SiGe multiple quantum well (MQW). All measurements were performed at room temperature. The experimental data are compared with a 1D spin drift-diffusion model. A fair agreement between the measured and calculated photon-energy dependence of the ISHE signal is obtained for a spin lifetime of ≈1ns in agreement with theoretical calculation. These results highlight the great potentialities of Ge in spintronics.

K.8.1
09:00
Authors : Sebastiano De Cesari (1), Anna Giorgioni (1), Fabio Isa (2, 3), Emanuele Grilli (1), Giovanni Isella (2), Fabio Pezzoli (1)
Affiliations : (1) L-NESS and Dipartimento di Scienza dei Materiali,Università degli Studi di Milano Bicocca, via Cozzi 55, I-20125, Milano, Italy (2) L-NESS and Dipartimento di Fisica, Politecnico di Milano, Polo di Como, via Anzani 42, I-22100, Como, Italy (3) Laboratory for Solid State Physics, ETH Zurich, Otto-Stern-Weg 1, CH-8093, Zurich, Switzerland

Resume : We present the first experimental study of spin-dependent phenomena in Ge-based heterostructures grown on high index surfaces. Here we focus on the optical investigation of Ge/SiGe Multiple Quantum Wells (MQWs) deposited on (111)-oriented Si substrate. These heterohepitaxial systems spontaneously possess the removal of the L valley degeneracy, recently suggested as key ingredient for achieving exceptionally long spin lifetimes in Ge. In particular, we carried-out measurements of the decay time of photoluminescence (PL) revealing electron lifetime in the tens of nanosecond regime at cryogenic temperatures. On the other hand, polarization-resolved PL measurements was used to achieve robust optical orientation of spins, as known in these heterostructures. Our result yields an important step forward the comprehension of physical mechanisms governing the spin relaxation of electrons in (111)-oriented Ge/SiGe MQWs heterostructures, and opens the way towards the realization of a new generation of Ge-based emitters able to combine standard microelectronic properties with tailored spin-dependent effects.

K.8.2
09:15
Authors : C. Rinaldi, M. Liebmann, D. Di Sante, R. Wang, J.-C. Rojas-Sánchez, Y. Fu, S. Oyarzun, L. Vila, J. Kellner, C. Pauly, M. Cantoni, J-M. George, R. Calarco, S Picozzi, M. Morgenstern, A. Fert, R. Bertacco
Affiliations : Dipartimento di Fisica, Politecnico di Milano, via Colombo 81, 20133 Milano, Italy; II. Institute of Physics B and JARA-FIT, RWTH Aachen University, 52074 Aachen, Germany; Consiglio Nazionale delle Ricerche CNR-SPIN, UOS L’Aquila, Via Vetoio 10, 67100 L’Aquila, Italy; Paul-Drude-Institut für Festkörperelektronik, Hausvogteiplatz 5-7, 10117 Berlin, Germany; Unité Mixte de Physique, CNRS, Thales, Univ. Paris-Sud, Université Paris-Saclay, 91767, Palaiseau, France; Institut Jean Lamour, UMR CNRS-Université de Lorraine, 54506 Vandouevre lès Nancy, France; Université Grenoble Alpes and CEA, INAC–SP2M, F-38000 Grenoble, France;

Resume : Semiconductor spintronics has for long concentrated on devices where the semiconductor plays a passive role. In the compelling quest for multifunctionality and non-volatility, a breakthrough would come from turning it into an active element. Germanium telluride (GeTe) belongs to the recently discovered FerroElectric Rashba SemiConductors (FERSCs) [1]. The remanent ferroelectric polarization vector breaks the inversion symmetry and is predicted to determine a giant bulk Rashba spin splitting of the bands [2], which can be controlled by switching the ferroelectric polarization, thus providing a unique way to achieve a non-volatile full-electric control of spin transport properties. Here we prove the FERSC properties of GeTe via Piezo Force Microscopy and Spin and Angular Resolved PhotoEmission Spectroscopy. A huge Rashba splitting of the valence band has been measured in GeTe(111) thin films with outwards remanent ferroelectric polarization, in nice agreement with DFT calculations [3]. Preliminary experiments of spin pumping on Fe/GeTe heterostructures indicate the presence of spin-to-charge conversion phenomena [4], thus unveiling the potential of GeTe for spin-orbitronic devices integrating memory and spin-based computing functions. [1] A. Giussani et al., Phys. Status Solidi B 249, 1939-1944 (2012) [2] D. Di Sante et al., Adv. Mater. 25, 509-513 (2013) [3] M. Liebmann, C. Rinaldi et al., Adv. Mater. 28, 560-565 (2016) [4] C. Rinaldi et al., Appl. Phys. Lett., accepted (2016)

K.8.3
09:30
Authors : S. Oyarzun(1), F. Rortais(1), F. Bottegoni(2), A. Ferrari(3), C. Vergnaud(1), C. Ducruet(4), S. Gambarelli(1), J. Widiez(5), A. K. Nandy(6), S. Blügel(6), H. Jaffrès(7), J.-M. George(7), G. Isella(2), M. Finazzi(2), F. Ciccacci(2), M. Jamet(1)
Affiliations : (1) INAC, CEA and Université Grenoble Alpes, Grenoble, France; (2) LNESS-Dipartimento di Fisica, Politecnico di Milano, Milano, Italy; (3) NEEL, CNRS and Université Grenoble Alpes, Grenoble, France; (4) CROCUS-Technology, Grenoble, France; (5) LETI, MINATEC Campus, CEA, Grenoble, France; (6) Peter Grünberg Institute and Institute for Advanced Simulation, Forschungszentrum Jülich and JARA, Jülich, Germany; (7) Unité Mixte de Physique, CNRS, Thales, Univ. Paris-Sud, Université Paris-Saclay, Palaiseau, France

Resume : The field of spintronics uses the carrier spin angular momentum as a basic functional unit in addition to the charge. A semiconductor-based spintronic technology first requires the efficient generation of spin-polarized carriers in Si or Ge (the materials of microelectronics) at room temperature. Electron spin polarization in Si or Ge can be achieved by electrical spin injection from a ferromagnetic metal. In this presentation we discuss about using the spin-orbit effects to generate spin polarized carriers from pure charge currents in Ge. Indeed, charge currents can generate spin currents by the spin Hall effect in bulk materials and the Rashba effect at interfaces. In n and p type bulk Ge, we use spin pumping-inverse spin Hall effect measurements to deduce the spin Hall angle which reflects the charge-to-spin conversion efficiency. In bulk Ge, we find it very low of the order of 0.1% but it can be greatly enhanced at interfaces by the Rashba effect. In particular, using the spin pumping technique, we show the high spin-to-charge conversion efficiency into metallic Rashba states at the Fe/Ge(111) interface. Moreover, using the optical spin orientation method to generate a spin current in Ge, we study the spin-to-charge conversion at the Pt/Ge(100) and Bi/Ge(111) interfaces by the spin-orbit interaction. We demonstrate that the spin-orbit interaction can be used at metal/Ge interfaces to efficiently generate spin polarized electrons.

K.8.4
 
Spintronics II : Giovanni Isella and Mattieu Jamet
10:30
Authors : Maksym Myronov
Affiliations : Department of Physics, The University of Warwick, Coventry CV4 7AL, UK

Resume : Germanium (Ge) is another Group IV semiconductor material, which recently started attracting tremendous attention in spintronics following success of Silicon (Si). The crystal inversion symmetry of Si and Ge precludes the spin relaxation of conduction electrons by the Dyakonov-Perel mechanism, resulting in a long spin relaxation time. Since the proposal of the spin FET in 1990 by Datta and Das, semiconductor materials have been studied for their spin-orbit (S-O) interactions, particularly those that can be modified by an applied electric field, such as the Rashba S-O interaction, in order to create devices that utilise spin modulation and control to perform logic operations. Since then new proposals have appeared. Nowadays they include spin transistors with several different operating principles, spin-based diodes, spin-based field programmable gate arrays, dynamic spin-logic circuits, spin-only logic, spin communication and others. In this talk, the focus will be made on describing recent progress in Ge spintronics including the key advances made. The absence of Dresselhaus S-O coupling in Ge enables a longer spin diffusion length when compared to III-V materials. Evidence of a strong Rashba S-O interaction in strained Ge quantum wells has begun to emerge. Also, the first experimental demonstration of room-temperature spin transport in Ge has recently been reported.

K.9.1
11:00
Authors : Elisa Vitiello (1), Michele Virgilio (2), Anna Giorgioni (1), Jacopo Frigerio (3), Eleonora Gatti (1), Sebastiano De Cesari (1), Emilano Bonera (1), Emanuele Grilli (1), Giovanni Isella (3), Fabio Pezzoli (1)
Affiliations : (1) L-NESS and Dipartimento di Scienza dei Materiali, Università degli Studi di Milano-Bicocca, Via Cozzi 55, I-20125 Milano, Italy; (2) Dipartimento di Fisica, Università di Pisa, Largo Pontecorvo 3, I-56127 Pisa, Italy; (3) L-NESS and Dipartimento di Fisica del Politecnico di Milano, Polo di Como, via Anzani 42, I-22100 Como, Italy

Resume : Epitaxial growth of Ge films on Si introduces an in-plane biaxial tensile strain, opening up Ge applications in photonic, as recently demonstrated by the room temperature lasing action in Ge-on-Si heterostructure. The optical access to the direct gap transitions remarkably provides the possibility of injecting spin-polarized carriers via absorption of circular-polarized light. Nevertheless the effects of tensile-strain on the spin properties of Ge-on-Si have still to be addressed. In this work we applied polarization-resolved photoluminescence (PL) to spectrally resolve the radiative recombination involving strain-split light and heavy hole bands. We found that at low temperature, the fundamental transition has a record-high circular polarization degree of 85%, despite an off-resonance excitation of 300 meV. We show that this very high value is due to the characteristic energy dependence of the optically induced electron spin population and their concomitant dynamics. Finally, our observation of a direct gap PL doublet clarifies that the light hole contribution to the optical spectrum can dominate the room temperature PL. Our results provide a step forward the investigation of the dynamics of non-equilibrium spin populations and of the evaluation of optical gain in group IV materials. Above all, our findings confirm Ge as a prominent candidate for the development of next-generation CMOS-compatible devices featuring spintronics and photonics functionalities.

K.9.2
11:30
Authors : I. Arnay*, J. Rubio-Zuazo*, J. López**, G. R. Castro*
Affiliations : *BM25-SpLine, ESRF (European Synchrotron Radiation Facility), 71 Avenue Martyrs, 38000 Grenoble, France; *ICMM-CSIC (Instituto de Ciencia de Materiales de Madrid), Ciudad Universitaria de Cantoblanco, 28049 Madrid, Spain; **Departamento de Física de Materiales, Facultad de Ciencias Físicas, Universidad Complutense de Madrid, Ciudad Universitaria s/n, 28040 Madrid, Spain

Resume : The integration of ferromagnetic and semiconductor materials offer unique possibilities to develop a powerful and reliable method of injecting and detecting spins in the semiconductor material at room temperature. However a strong obstacle for the development of this technology is associated with the large impedance mismatch existent between both types of materials, which limits the spin injection from high conductive ferromagnetic material to high-resistive non-magnetic semiconductor. The use of extremely thin tunnel barriers has been recently proved to be the solution to this problem. In this context we have successfully grown by PLD thin layers of Fe3O4 on Si/SiO2 substrates, with thicknesses ranging between few nm to 80 nm, in order to determine the applicability of FM-semiconductor integration using SiO2 native oxide as a tunnel barrier. A complete morphological, compositional, electronic, magnetic and transport characterization has been performed by synchrotron based X-ray reflectivity, high and low energy XPS, Ramman spectroscopy, SEM, Magneto-Optic Kerr effect and in-plane transport techniques. Special attention has been paid on the quality of the buried interfaces, thickness of the tunnel barrier and absence of other iron oxide phases or silicate phases. The results showed Fe3O4 single phase character without silicate formation, ferromagnetism at room temperature, well-defined metal to insulator transition, high quality interfaces and low tunnel barrier thicknesses.

K.9.4
11:45
Authors : A. Giorgioni [1], S. Paleari [2], S. Cecchi [3], L. Golub [4], E. Grilli [1], G. Isella [3], W. Jantsch [5], M. Fanciulli [2], F. Pezzoli [1]
Affiliations : [1] LNESS and Dip. di Scienza dei Materiali, Università di Milano Bicocca, Milano, Italy; [2] Dip. di Scienza dei Materiali, Università di Milano Bicocca, Milano, Italy; [3] LNESS, Dipartimento di Fisica, Politecnico di Milano, Como, Italy; [4] Ioffe Institute, St. Petersburg, Russia; [5] Inst. of Semiconductor and Solid State Physics, Johannes Kepler Universitaet, Linz, Austria

Resume : The ability of tailoring the Landé g-factor in semiconductors is appealing because it is related to the possibility of tuning the spin-orbit interaction in the solid state and ultimately to control the spin-dependent properties via external fields in spintronic devices. Quantum confinement has been shown to be an effective mean to tailor the electron g-factor in III-V heterostructures. Nevertheless, to date no experimental proof was shown in Ge which is a CMOS compatible material, although such an effect was predicted in Ge quantum wells (QWs) by Baron et al., Phys. Rev. B 68,195306 (2003). Here we report the first Electron Spin Resonance (ESR) measurements of conduction electrons in Ge/SiGe QWs on Si. ESR lines in the 0.8 to 1.93 g-factor range are observed, showing that the g-factor of confined electrons in the L valley is highly anisotropic, similarly to the bulk. Our data prove that confinement increases the g-factor in QWs with respect to bulk Ge, in full agreement with the theoretical predictions. The lineshape study highlighted that the spin relaxation is governed by Elliot-Yafet type processes, typical for centrosymmetric materials. Longitudinal spin relaxation times were measured from the power dependence of the lines, providing exceptionally long values with respect to bulk Ge. These findings open new pathways for manipulating spin properties in Ge-based nanostructures, encouraging the development of spintronic functionalities on the mainstream Si platform.

K.9.5
 
Poster session : Gudrun, Kissinger, Deren Yang, Leo Miglio and Hiroshi Yamada-Kaneta
13:30
Authors : A.Zitouni, S.Bentata, B.Bouadjemi, T.Lantri, Z.Aziz, S.Cherid, A. Sefir
Affiliations : Laboratory of Technology and Solids Properties Faculty of Sciences and Technology, Abdelhamid Ibn Badis University, BP227, Mostaganem 27000, Algeria Signals and Systems Laboratory (LSS) Faculty of Sciences and Technology, Abdelhamid Ibn Badis University, BP227, Mostaganem 27000, Algeria

Resume : Using the first-principles method, we investigate the structural, electronic and magnetic properties of the diluted magnetic semiconductors CdCoTe and CdCrTe in the zinc blende phase with 12.5% of Co and Cr. The calculations are based on the total energy calculations using the full potential linearized augmented plane wave (FPLAPW) method within the spin density functional theory (DFT). The exchange and correlation potential is treated by the generalized gradient approximation (GGA) for the total energy calculations. Structural properties are determined from the total energy calculations and we found that these compounds are stable in the ferromagnetic phase. We discuss the electronic structures, total and partial densities of states and local moments. Finally, CdCoTe and CdCrTe in the zinc-blend phase show half-metallic ferromagnetic nature and are expected to be potential materials for spintronic devices.

K.PI.1
13:30
Authors : I. Capan, Ž. Pastuović, R. Siegele, R. Jaćimović
Affiliations : Rudjer Boskovic Institute, Bijenička 54, 10000 Zagreb; Center for Accelerator Science, ANSTO, Locked bag 2001, Kirrawee Dc NSW 2232, Australia; Jozef Stefan Institute, Jamova cesta 39, 1000 Ljubljana, Slovenia;

Resume : The deep level transient spectroscopy (DLTS) has been used to study vacancy-related defects formed in n-type Czohralski-grown silicon after implantation with heavy ions (6.5 MeV 16O2+, 10.5 MeV 28Si3+, 10.5 MeV 72Ge3+, and 11 MeV 167Er4+) in the single ion regime. The ion species and energies were chosen to obtain the similar end of an ion range. The complete suppression of DLTS signals related to the vacancy-oxygen (VO) centre and the double acceptor state of divacancy, V2(=/-) has been observed upon implantation, and no subsequent annealing. The reason for such behaviour is twofold, (i) the local depletion of the carrier concentration in the highly disordered regions, and (ii) the effect of the microprobe-assisted single ion implantation. The energy for electron emission for the V2(-/0) defect formed in all samples implanted by single ions follows the Meyer-Neldel rule. An increase of the activation energy is strongly correlated with increasing ion mass.

K.PI.2
13:30
Authors : Byung-Hyuk Jun1, In Hwan Cho1,2, Chan-Joong Kim1, Kee Nam Choo1, Myong-Seop Kim1
Affiliations : 1 Neutron Utilization Technology Division, Korea Atomic Energy Research Institute (KAERI), Korea; ‎2 Energy, Materials & Chemical Eng., Korea Univ. of Technology & Education, Korea

Resume : Silicon is by far the most perfect semiconductor and is therefore the preferred material for power semiconductor devices and a wide range of electronic and optoelectronic devices. When neutrons are used for changing the resistivity of silicon, phosphorous dopant atoms are produced by the transmutation of 30Si into 31P by thermal neutron irradiation in atomic reactors. Neutron transmutation doped (NTD) Si has been developed, and this technique is used when the application requires doping levels of high precision and uniformity. The major market for Si of this extremely clean and uniform quality is power semiconductor devices. For the performance of thyristors, rectifiers, IGBTs and power MOSFETs, the uniformity is essential for obtaining high, well-defined breakdown voltages typically above 300 V. HANARO in KAERI is a representative neutron irradiation facility for various applications, and performs the NTD process. In this study, the n and p-type (100) Si wafers grown by a floating zone (FZ) method were prepared and electrical and optical properties were examined to compare the neutron irradiation effects. The initial resistivity ranges of n and p-type FZ Si wafers were 5000-7000 Ω cm and 16000-22000 Ω cm, respectively. The temperature dependency on the electrical properties of resistivity, carrier concentration and mobility was examined. The resistivity change was determined by the correlation between the electron concentration and mobility. In addition, the optical properties of Raman and FTIR were investigated.

K.PI.3
13:30
Authors : Youngsin Park, Christopher C. S. Chan, Benjamin P. L. Reid, Luke Nuttall, Robert A. Taylor, Young M. Lee
Affiliations : School of Natural Science, Ulsan National Institute of Science and Technology (UNIST), Ulsan 689-798, Korea; Clarendon Laboratory, Department of Physics, University of Oxford, Oxford, OX1 3PU, UK; Beamline Division, Pohang Accelerator Laboratory, Pohang 37673, Korea

Resume : Semiconductor nanotstructures are the most promising technology for future optoelectronics and memory device applications due to the recent rapid advance in nanoscale science and technqiues. Ge is an indirect gap semiconductors, with two main electronic transitions, the first at 0.67 eV (indirect) the second at 0.8 eV (direct) and Ge nanocrystals (nc-Ge) are one of the candidates for such an application due to their superior charge storage performance. Here, we present the formation of nc-Ge surrounded by Ge3N4 and its optical properties. The nc-Ge was prepared by N2+ ion implantation onto the Ge (111) single crystal followed by thermal annealing. The formation of the nc-Ge was confirmed by transmission electron microscopy and x-ray photoemission spectroscopy. The broad emission ranging from infrared to blue is due to the ensemble effect of the crystal size and preparation methods. Here, we show an individual photoluminescence (PL) attributed to emission of the Ge nanocrystal finely resolved with micro-PL system through temperature and power-dependent PL studies. These peaks are shown to be behave with excitonic characteristics and we argue that the spread of nc-Ge peaks in the PL spectrum are due to different confinement energies arising from the variation in size of the nanocrystal.

K.PI.5
13:30
Authors : Ming-Pei Lu, Lucile Marrot, Laurent Montès,
Affiliations : National Nano Device Laboratories, National Applied Research Laboratories, Hsinchu 300, Taiwan; IMEP-LAHC, Grenoble INP – Minatec, 3 rue Parvis, Louis Néel, 38016, Grenoble, France;

Resume : The presence of Schottky barrier (SB) at semiconductor-metal interface plays a critical role in determining the electrical and optoelectronic characteristics of semiconductor devices. In this report, we attempted to modulate the SB height at the interface between Si and metal using the deposition of ultrathin organic molecule layer with or without terminal dipole. The thickness of organic molecule layer can be well controlled to be less than few nanometers. We also used the XPS measurements to indentify the information regarding the bonding and the element ratio near the semiconductor surface. The magnitude of SB height was extracted by using temperature-dependent electrical measurement. Finally, we found that the magnitude of the SB height change will depend on the chemical characteristics of organic molecules. This report can provide insight into the atomic-interface engineering of the SB height for future electronic applications.

K.PI.8
13:30
Authors : Robert Mroczyński, Magdalena Dominik, Małgorzata Kalisz
Affiliations : Institute of Microelectronics and Optoelectronics, Warsaw University of Technology, Poland

Resume : The feasibility of ultra-shallow fluorine and nitrogen implantation from r.f. plasma into silicon substrates, and the correlation between implantation process parameters, and electro-physical properties of obtained Metal-Insulator-Semiconductor (MIS) structures with hafnium oxide (HfOx) layer as a gate dielectric was investigated. The analysis of electrical characteristics of MIS structures proved that all fabricated structures after plasma implantation are characterized by lower flat band voltage (UFB) value (in absolute values) and lower effective charge (Qeff) in comparison to reference samples. However, the limitation of such an improvement is the specified value of power (i.e. 120W) applied to the reactive chamber. MIS structures are also characterized by a low leakage current and very significant increase in the breakdown voltage (UBR) value. The structural characterization has demonstrated that both types of plasma implantation result in the introduction of the relatively high concentration of fluorine and nitrogen into silicon subsurface area.

K.PI.10
13:30
Authors : M. Porrini, S. Haringer, A. Giannattasio
Affiliations : Sunedison Semiconductor, via Nazionale 59, 39012 Merano ITALY

Resume : Oxygen precipitation in heavily donor-doped Cz-silicon is still not fully understood at the high dopant concentrations required by specific applications typical of discrete devices. In this paper, the oxygen precipitation in heavily arsenic doped silicon is investigated for a wide dopant concentration range (from 3 × 1018 cm-3 to 4 × 1019 cm-3) and it is compared with that observed in lightly doped silicon having similar initial oxygen concentration. As already shown in preliminary studies, a lower density of oxide precipitates is measured in the case of arsenic doping. This finding is explained by considering the role played by vacancies in the formation of oxygen precipitates and the impact of the arsenic concentration on the equilibrium concentration of point defects in silicon. Data obtained by computer simulation of oxygen precipitation in silicon are also presented in support of this possible explanation.

K.PI.11
13:30
Authors : Zeljko Pastuovic, Ettore Vittone, Ivana Capan, Rainer Siegele, Milko Jaksic
Affiliations : Centre for Accelerator Science, ANSTO, Locked bag 2001, Kirrawee DC, NSW 2232, Australia Physiscs Department and NIS Centre of Excellence, University of Torino, Via P. Guria1, Torino, Italia Material Physics Division, “Rujder Boskovic Institute”,Bijenicka 54, HR-10000 Zagreb, Croatia Experimental Physics Division, “Rujder Boskovic Institute”,Bijenicka 54, HR-10000 Zagreb, Croatia

Resume : We present a new experimental tool for studies of the low level radiation damage in semiconductor materials and devices, which combines a novel protocol for sample irradiation using a raster scanned ion microbeam, the Deep Level Transient Spectroscopy (DLTS) for characterization of created deep traps for majority carriers, the Ion Beam Induced Current (IBIC) for measurement of the charge collection efficiency (CCE) in the presence of deep traps and the new model for the quantitative evaluation of the CCE degradation in irradiated, partly damaged and still functioning devices. The model assumes a low level damage which doesn’t change significantly a doping concentration and an electric field in depleted region of a device. In these conditions, the Shockley-Read-Hall model is used for the modified free carrier lifetime profile in a presence of traps generated by projectiles (ions). Our model is based on the probabilistic interpretation of the excess charge carrier continuity equations and it offers the full control not only of the physical properties ruling the induced charge formation mechanism (i.e., mobility, lifetime, electrostatics, device’s geometry), but also of the relevant experimental conditions (ionization and primary point defect depth distributions) affecting the measurement of the induced charge pulses. The performance of the new experimental tool will be demonstrated by the outcomes of low level damage studies of the selectively irradiated silicon and silicon-carbide Schottky barrier diodes (SBD) using He++ ions with energies in the 1-12 MeV range.

K.PI.12
13:30
Authors : Tuktamyshev A.R., Timofeev V.A., Nikiforov A.I., Mashanov V.I., Esin M.Y., Teys A.S.
Affiliations : Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk, Russia

Resume : Dependencies of critical thickness of 2D-3D transition of Ge-Si-Sn films grown on Si(100) by MBE method on the growth temperature, composition and lattice mismatch between growing film and the substrate have been obtained. Surface superstructures during the growth of Ge-Si-Sn films on Si(100) have been studied by RHEED. These surface superstructures have been compared with superstructures formed on the surface during the growth of pure Sn on Si(100) and Ge/Si(100). We can determine quality of growing film by the appearance of various superstructures on the surface. Morphology of Ge-Si-Sn films has been studied by STM and AFM. Size and density of islands formed in 3D growth regime depending on the growth temperature and composition have been measured. Based on strained pseudomorphic Ge-Si-Sn films, multilayer structures demonstrating an increase in the photoresponce in the long-wave IR region with increasing Sn composition up to 10% have been obtained.

K.PI.13
13:30
Authors : Jaejun Lee, Sung Wook Kim, and Heon-Jin Choi
Affiliations : Department of Materials Science and Engineering, Yonsei University, 120-749, Seoul, Korea

Resume : Layered two dimensional materials (2D) such as MoS2, WS2, and WSe2 have been researched intensively by reasons of their outstanding mobility, high on/off ratio, and optical properties. Meanwhile, 2D structures of group-IV semiconductors such as silicon and germanium have not been investigated yet due to the difficulty in the 2D growth of cubic structure. Specially, germanium has a high carrier mobility, and could be applied for channel materials in CMOS technique. Moreover, it has excellent optoelectronic properties due to its high absorption coefficient. Here we report freestanding-, 2D germanium grown by chemical vapor deposition (CVD) process. The ratio of gases, germanium tetrachloride for germanium source and argon gas for carrier gas was controlled. It was found that 2D germanium nanoplates having 100 – 200 nm thickness and 1:400 ratio of thickness per width were grown under a very dilute concentrate of germanium source gas. In addition, surface cleaning and treatment of the substrate by Buffered Oxide Etch (BOE) leaded epitaxial growth and made possible to control growth angle with the substrate. Freestanding germanium nanoplate was transferred on SiO2/Si substrate by using PDMS transfer method and mobility was measured by Hall bar measurement method. Our results suggest that 2D growth of cubic structure can be achieved by CVD process under the very dilute concentration of precursor, i.e., diffusion limited environment. Freestanding germanium nanoplate would be helpful for high performance CMOS, flexible electronic- and optoelectronic devices.

K.PI.14
13:30
Authors : V.V. Emtsev*, N.V. Abrosimov **, V.V. Kozlovskii***, G.A. Oganesyan*, D.S. Poloskin*
Affiliations : *Ioffe Physicotechnical Institute of the Russian Academy of Sciences, Saint-Petersburg, Russia; **Leibniz-Institute for Crystal Growth, Berlin, Germany;***Peter The Great Saint-Petersburg Polytechnic University, Saint-Petersburg, Russia

Resume : Defect formation processes in n-Si irradiated with 1 - 3 MeV protons are widely believed to be very similar to those observed in electron-irradiated materials, with much higher rates of defect production in the case of protons. The present work is primarily aimed at studying an interplay of phosphorus impurity atoms with intrinsic defects. Such reactions lead to losses of shallow donor states in the course of 8 and 15 MeV proton irradiation. To make such defect reactions much more pronounced we used floating zoned n-Si crystals with charge carrier concentrations about 1x10(16) cm(-3). Annealing processes up to 700�C have also been studied. Defect production and recovery processes in proton-irradiated n-Si are discussed in comparison to those observed in electron-irradiated material.

K.PI.15
13:30
Authors : K. Adamczyk, R. Søndenå, M. Mhamdi, A. Autruffe, G. Stokkan, M. Di Sabatino
Affiliations : NTNU, Department of Materials Science and Engineering, Trondheim, Norway; IFE, Department of Solar Energy, Kjeller, Norway; SINTEF, Materials and Chemistry, Oslo, Norway and NTNU, Department of Materials Science and Engineering, Trondheim, Norway; NTNU, Department of Materials Science and Engineering, Trondheim, Norway; SINTEF, Materials and Chemistry, Trondheim, Norway; NTNU, Department of Materials Science and Engineering, Trondheim, Norway

Resume : High performance (HP) multicrystalline silicon (mc-Si) wafers used in solar cell processing have been investigated with focus on quantification of the grain boundary effect on lifetime. The lifetime of a set of 16 wafers from different positions along the ingot and after different process steps – phosphorus gettering, SiNx:H layer deposition and firing - is measured by µPCD and compared with microstructural information from EBSD and PVScan. This allows for analysis of defects behavior and their influence on lifetime during solar cell processing. The studied material behaves differently than standard mc-Si. The lifetime of HP mc-Si wafers is not increased after the gettering step, but even reduced for some samples. It is shown that the lifetime in areas close to grain boundaries is reduced during the gettering step by about 15% and this has a stronger effect on the average value than the improvement in the bulk by 8%. The hydrogenation process does not provide lifetime increase without previous gettering. In the region close to the bottom of the ingot, wafers show lifetime degradation after the hydrogenation process, probably related to dissolution of precipitates during the firing step. Only wafers after both gettering and hydrogenation show overall improvement by about 15%. The results are used to obtain quantitative information of the Donolato recombination strength of dislocations and recombination velocity of grain boundaries by fitting microstructural information to a Comsol-based lifetime-prediction model.

K.PI.16
13:30
Authors : Y.Uchida1, T. Funayama1, Y. Kogure1, W. Yeh2
Affiliations : Teikyo Univ. of Sci. 1, Shimane Univ. 2

Resume : We have reported on the deposition of electrodeposited Ge film on the glass substrate [1] and also reported Cu-induced poly-crystallization [2]. In this presentation we would like to report on the poly-crystallization technique to Ge film deposited on flexible substrate. Details of preparation of Ge film were same as previous report [1]. The thickness of Ge film was 50nm. The flexible substrate is polyimide film which is Neopulim of Mitsubishi Chemical Co. Ltd. The poly-crystallization temperature was 150C for 10h in vacuum condition. It was observed by the poly-crystallized Ge film that the Cu/Ti/Neopulim structure curved to become the convex. Stress of the Ge film was calculated by Stoney’s equation. The stress of Ge film was about 0.5GPa. The peak of Raman shift was 297cm-1 and its FWHM was 8.3cm-1. XRD spectrum of the poly-crystallized Ge film had Ge(220) peak at 45. The details of experimental results will present at conference. We have investigate the Cu-induced poly-crystallization of electrodeposited Ge film and confirm that electrodeposited-Ge film have possibility of a sensor on flexible substrate. [1] Y. Uchida et. al., Phys. Status Solidi C, 11(2014)1661. [2] Y. Uchida et. al., ITC2015(2015) 32.

K.PI.18
13:30
Authors : Kento Toyosaki, Koji Sueoka
Affiliations : Department of Communication Engineering Okayama Prefectural University

Resume : Poly-Si crystals are mainly used in solar cells because of their low cost. In order to create a solar cell with higher engineering efficiency, the zone of sensitivity to wavelengths of sunlight should be expanded. Alternatives to poly-Si cells are multi-junction solar cells consisting of films of group III-V semiconductors with different bandgaps. However, some III-V elements are hazardous to the environment and less affinity with Si. In this research, we focused on group IV semiconductors, i.e., Si containing C and Sn atoms at % order, as a way of solving these problems. We calculated the total energies of all of the cubic supercells of (1) one irreducible configuration of Si63C1 and Si63Sn1, (2) nine irreducible configurations of Si62Sn2, Si62C2 and Si62Sn1C1, (3) 49 irreducible configurations of Si61Sn3 and Si61C3, (4) 122 irreducible configurations of Si61Sn1C2 and Si61Sn2C1, and (5) 566 irreducible configurations of Si60C4 and Si60Sn4 were calculated by using density functional theory with GGA-PBE after optimizing the geometry. It was found that (i) two C atoms exist as 3rd nearest neighbors to each other, (ii) two Sn atoms exist as 6th nearest neighbors to each other, and (iii) one Sn and one C atom exist as 1st nearest neighbors to each other. These configurations arise because it prevents the superimposition of same types of local strains by the same dopants (C-C and Sn-Sn) and it makes the offset of opposite types of local strains formed by smaller (C) and larger (Sn) dopants in Si [Matsutani et al. Phys. Status Solidi (c), 11 (2014) 1718]. The other calculations with sx-LDA showed that C doping alone or Sn doping alone at a 1.56% atomic composition (Si63C1 or Si63Sn1) decreased the bandgaps of Si. The bandgaps of Si with co-doped C and Sn are now being calculated to investigate the possibility of obtaining the desired bandgap.

K.PI.19
13:30
Authors : Michael Sluydts, Michael Pieters, Jan Vanhellemont, Veronique Van Speybroeck, Stefaan Cottenier
Affiliations : Center for Molecular Modeling, Ghent, Belgium; Center for Molecular Modeling, Ghent, Belgium; Department of Solid State Sciences, Ghent, Belgium; Center for Molecular Modeling, Ghent, Belgium; Center for Molecular Modeling, Ghent, Belgium, Department of Materials Science and Engineering, Ghent, Belgium;

Resume : Due to the increased availability of computational resources, DFT calculations that used to be time-consuming can now be performed in large numbers. As a consequence, automated high-throughput screening methods have appeared, capable of generating extensive DFT-based datasets. Datasets of this size can be difficult to obtain experimentally due to the time and effort involved in lab work. Moreover, the level of control one has over a computed dataset is larger than for an experimental set. Examining these datasets allows for the discovery of global trends as well as the identification of interesting cases which can serve as a starting point for further research. In the present work we applied a high-throughput methodology to study dopant behavior in the prototype semiconductors Si and Ge. DFT-calculations were performed for 73 dopants from H to Rn (excluding the lanthanides) at 6 common positions in the Si and Ge lattices, always with full geometry optimization. The lowest-enthalpy positions were identified and compared to experiment, providing a means of validation. The same dataset was then used to determine vacancy trapping enthalpies. By formulating specific criteria for a given application, the dopants that lead to optimal vacancy traps could be selected. Such knowledge is of direct relevance to industrial processes such as Czochralski growth, where suitable vacancy traps can suppress void formation.

K.PI.20
13:30
Authors : J. Kirschbaum, T. Teuber, M. Radek, H. Bracht, M. Posselt, D. Bougeard
Affiliations : Institute of Materials Physics, WWU Münster, Germany; Institute of Materials Physics, WWU Münster, Germany; Institute of Materials Physics, WWU Münster, Germany; Institute of Materials Physics, WWU Münster, Germany; Institute of Ion Beam Physics and Materials Research, Helmholtz-Zentrum Dresden-Rossendorf, Germany; Institut für Experimentelle und Angewandte Physik, University of Regensburg, Germany

Resume : Amorphous silicon (a-Si) is a widely used material, especially for solar cells and thin-film-transistors. Measuring the self-diffusion coefficient of a-Si is experimentally demanding since recrystallization during diffusion annealing must be suppressed. We used Si on insulator (SOI) structures to stabilize the amorphous state during annealing. Isotopically enriched Si multilayers with a thickness per layer of about 10 nm were grown by means of molecular beam epitaxy on top of SOI wafers. Subsequently the whole top crystalline Si layer was amorphized by means of Si ion implantation. Before and after annealing the distribution of the Si isotopes within the isotope structure was measured with SIMS. The observed broadening suggests a significantly higher self-diffusion in the amorphous compared to the crystalline state. Molecular dynamics simulations are employed to gain information about the mechanism of self-diffusion. We used an adjusted Stillinger-Weber potential, as the original Stillinger-Weber parametrization for Si overestimates the mobility of the matrix atoms. The parameters were chosen to simulate the experimentally observed diffusion in a-Si. The coordination numbers and radial-distribution-function were analyzed to confirm the assumption of bond switching as the dominant mechanism of self-diffusion.

K.PI.21
13:30
Authors : J.M. Hartmann; J. Aubin; J.P. Barnes
Affiliations : Univ. Grenoble Alpes and CEA, LETI, Minatec Campus, 38054 Grenoble, France

Resume : Lowering the thermal budget during the fabrication of devices with ultra-thin films or 3D features such as fins has become essential. Requirements are especially drastic when stacking Metal-Oxyde-Semiconductor Field Effect Transistors (MOSFETs) upon one another (CoolcubeTM approach). Temperatures higher than 500 °C must then be avoided in order to preserve the electrical performances of the bottom FETs while processing the top ones. The use of higher and higher Ge contents in the SiGe channels and the SiGe:B sources and drains of high performance p-type MOSFETs, with therefore low growth temperatures, is also timely. Gaseous precursors such as dichlorosilane (SiH2Cl2), germane (GeH4) and diborane (B2H6), are typically used in order to selectively grow those SiGe(:B) layers. Temperature is then in the 600 °C - 650 °C range, which precludes monolithic 3D integration. Switching to disilane (Si2H6), a Si precursor that decomposes at lower temperatures than dichlorosilane together with the use of Cyclic Deposition/Etch (CDE) strategies, enabled us to selectively grow SiGe(:B) layers at temperatures as low as 450°C [1-2]. One however wonders whether the use of digermane (Ge2H6), a gaseous precursor with a Ge-Ge bond which is weaker and thus easier to break than the Ge-H one, might be more appropriate for the low temperature growth of SiGe(:B). We have thus benchmarked GeH4 and Ge2H6 for the 20 Torr Reduced Pressure – Chemical Vapor Deposition of SiGe and SiGe:B layers (with Si2H6 and B2H6 as the Si and B precursors, respectively). We have highlighted, in the low growth temperature domain investigated (450 °C – 600 °C range), the advantages and drawbacks of digermane, a precursor which is 3.7 times more costly than germane. At 500 °C, 20 Torr, the SiGe growth rate increased linearly with the Ge flow and was 8 to 10 times higher with Ge2H6 than with GeH4. The sub-linear increase of the Ge content x with the F(Ge)/F(Si) mass-flow ratio was well accounted for by x2/(1-x) = n * F(Ge)/2F(Si2H6) relationships, with n = 0.47 for Si2H6 GeH4 and 1.74 with Si2H6 Ge2H6. Ge atoms were thus nearly 4 times more likely to incorporate in SiGe layers with digermane than with germane. The use of disilane and germane led to an exponential increase of the 20 Torr SiGe growth rate with temperature, with a 42 kcal.mol-1 activation energy (in the 500 °C – 600 °C range). We otherwise had a slight linear decrease of the Ge content x (-0.27 % per 10 °C increment) as the growth temperature increased. The situation was very different with disilane and digermane. As the growth temperature increased (in the 450 °C – 500 °C range), we were faced with a linear increase of the SiGe growth rate together with a huge linear decrease of the Ge content (-2.25 % per 10 °C increment). We have also quantified the impact HCl, an etchant gas, has on the growth kinetics of intrinsic SiGe with fixed amounts of disilane and digermane. Adding HCl led at 500 °C, 20 Torr to a significant decrease (from 7.8 down to 4.2 nm.min-1) of the growth rate, together with a significant Ge concentration increase (from 35 % up to 55 %). Finally, we have investigated the 500 °C, 20 Torr growth of heavily in-situ boron-doped SiGe layers with disilane and digermane. A marked increase of the SiGe:B growth rate with the B2H6 mass-flow was observed, from 5.8 nm.min-1 for intrinsic layers up to 13.6 nm.min-1 for the highest diborane flow probed. A less than linear decrease of the atomic and the “apparent” Ge concentrations (from SIMS and XRD, respectively) otherwise occurred as the B2H6 mass-flow increased: from 47 % down to 22 % and from 47 % down to 15 % in single crystalline layers, respectively. The linear increase of the atomic boron concentration xB with the diborane flow was fitted by xB/(1-xB) = m * F(B2H6)/(F(Si2H6) F(Ge2H6)), with m = 1.27 at 500 °C, 20 Torr. The SiGe:B resistivity decreased almost linearly as the diborane flow increased. The lowest resistivity, e.g. 4.3x10-4 Ω.cm, was obtained for F(B2H6)/F(H2) = 2.5x10-6. For higher B2H6 flows, we had a stabilization then a resistivity increase. It was likely due to the crystalline quality degradation then the transformation into poly-SiGe:B evidenced in XRD. Small islands were otherwise present in small numbers on the surface of those SiGe:B layers, with no clear dependence on the B2H6 flow (mean diameter: 26 nm; mean density: 0.7 islands / µm2). [1] J.M. Hartmann et al. ECS J. Sol. State Sci. and Technol. 3 (11) P382 (2014). [2] J. Aubin et al. Semicond. Sci. Technol. 30, 115006 (2015).

K.PI.22
13:30
Authors : Chung-Chun Hsu 1, Wei-Chun Chi 1, Guang-Li Luo 2, Yao-Jen Lee 2, and Chao-Hsin Chien 1,2
Affiliations : 1.Department of Electronics Engineering and Institute of Electronics, National Chiao-Tung University, Hsinchu, Taiwan; 2.National Nano Device Laboratories, Hsinchu, Taiwan. E-mail: chchien@faculty.nctu.edu.tw, TEL: 886-3-5712121-54252, FAX: 886-3-5724361

Resume : In the revolution of device scaling, germanium has been regarded as a candidate to replace silicon channel because of its high carrier mobility. However, there are several critical issues for Ge MOSFET fabrication, such as rapid dopant diffusion, lower solid solubility, alloy agglomeration of metal contact and so on. To resolve these problems, we propose a full low-temperature fabrication for Ge NMOSFET using microwave-activated annealing (MWA) for NiGe contact with dopant-segregation. In our previous work, we have demonstrated the interface passivation of Ge (GeO2) for Al/Al2O3/GeO2/p-Ge capacitance, which was formed through low-temperature microwave annealing. In this work, we further investigate the microwave-activated devices compared with that using traditional rapid thermal annealing (RTA), which is focused on the investigation of interface morphology of NiGe and defects between Ge and NiGe. From our result of RTA-activated junction, the considerable off-current is due to alloy agglomeration and Ni-induced defects between NiGe and p-Ge after dopant activation (500 C, 60s). As compared with RTA, the microwave-activated (5.8 GHz, 1.6 kW, 380 C, in N2 ambient, for 100 s) junction exhibited a nearly three orders of magnitude lower off-leakage current because of the extremely low defect-induced voltage-dependent current. We ascribe these results to ohmic heating and effective thermal transfer properties in the microwave annealing, which could effectively suppress the Ni diffusion during dopant activation. From the results of back-side SIMS, we find the RTA one exhibited more severe Ni diffusion than the MWA one, suggesting our speculation that the generation of Ni-induced defects can be reduced using MWA.

K.PI.23
13:30
Authors : S. Charbonnier,(1) I. Lucci,(2) S. Gangopadhyay,(3) Y. Ping Wang,(2) T. Rohel,(2) R. Bernard,(2) A. Létoublon,(2) C. Cornet,(2) P. Turban (1)
Affiliations : (1) IPR, UMR 6251, CNRS-Université de Rennes I, Campus de Beaulieu 35042 Rennes Cedex, France ; (2) UMR FOTON, CNRS, INSA Rennes, Rennes, F35708, France ; (3) Birla Institute of Technology and Science, Pilani, India

Resume : The monolithic integration of III-V based optical functions on Si(001) substrates requires suppression of antiphase disorder in the III-V active region. We present a detailed scanning tunneling microscopy (STM) study of epitaxial GaP layers grown by molecular beam epitaxy on nominal and vicinal Si(001) substrates. The surface morphology of GaP films with thickness varying between 3 and 220 nm is investigated from large scale down to the atomic level. We show that the use of vicinal substrates presenting bi-atomic steps only promotes anisotropic growth of the dominant polarity at the early deposition stages, allowing further annihilation of the antiphase boundaries (APB) on 6°-off Si(001) substrates. From atomically resolved STM images, we also report on the presence of clearly different terminal GaP facets on nominal and vicinal substrates. These preliminary results give new ideas on the effect of a controlled substrate miscut on APB formation and annihilation mechanisms. Finally, the dependence of thick GaP layer’s roughness with various growth parameters (substrate miscut angle, V/III flux ratio during growth) is also presented and analyzed. This work is supported by the French National Research Agency project ANTIPODE (Grant no. 14-CE26-0014-01), Région Bretagne and Rennes Métropole.

K.PI.24
13:30
Authors : Arouna DARGA, Ivana CAPAN, Raphael LACHAUME
Affiliations : Sorbonne Universités, UPMC Univ Paris 06, UMR 8507, Laboratoire Génie Electrique de Paris, F-91190 Gif sur Yvette, France; Rudjer Boskovic Institute, P.O. Box 180, 10000 Zagreb, Croatia; GeePs; CNRS UMR 8507; CentraleSupélec; Univ Paris-Sud ; Sorbonne Universités-UPMC Univ Paris 06; 11 rue Joliot-Curie, Plateau de Moulon, F-91192 Gif-sur-Yvette Cedex, France;

Resume : "Defects" or traps states play a key role in the operation of semiconductor-based devices that are at the heart of the actual technology. With the emergence of new group IV semiconductors materials and new silicon-based structures (nanostructured, nanocomposite materials) with a variety of electrical, optical, and structural characteristics, there is a strong interest on defects engineering. DLTS is one of the most powerful technique that can detect very low concentration of electrically active defects. From the DLTS analysis it is possible to obtain defects electronic properties parameters such as: activation energy for electron emission, capture cross section, concentration/density and depth profiling. In this work, we explore the possibility of using TCAD as a tool to evaluate the capability of the DTLS technique. In particular, we analyze unavoidable problems which rise when DLTS is used to study not only the point-like defects but more complex defects like interface-related defects, band of defects, dislocations etc. We will present preliminary results about the evaluation of the DLTS technique capability to probe defects within the simple Si-based MOS devices. The simulated DLTS spectra will be compared with the experimentally obtained data.

K.PI.25
13:30
Authors : J. Aubin(1); J.M. Hartmann(1); J.B. Pin(2); S. Moffatt(2)
Affiliations : (1) Univ. Grenoble Alpes, F-38000 Grenoble, France CEA, LETI, Minatec Campus, F-38054 Grenoble, France (2) Applied Materials Inc. 974 E. Arques Avenue, Sunnyvale, California, USA 94085

Resume : III-V alloys are under serious consideration as channel materials for n-type Metal Oxide Semiconductor Field Effect Transistors (nMOSFETs). Meanwhile, pure Ge provides the highest hole mobility of all cubic semiconductors (1900 cm2.V-1.s-1 compared to 450 cm2.V-1.s-1 only in bulk Si) for high performance p-type MOSFETs. Likewise, high Ge content SiGe alloys provide a useful technology for the thickening of SiGe-On-Insulator (SiGeOI) substrates obtained thanks the Smart-CutTM process or the Ge enrichment technique. Such alloys might also be used as high hole mobility channels on lower Ge content SiGe virtual substrates or on tensile strained Silicon-On-Insulator (SOI) wafers. Ge also has some important applications in optoelectronics, including waveguides, photodetectors and active optical elements. The possibility to have an indirect to direct band-gap transition with the combination of (i) phosphorous doping and (ii) tensile strain is of great interest for the monolithic integration of such devices. GeSn and GeSiSn are also favoured in order to obtain efficient optical devices. The first optically pumped GeSn laser was demonstrated in 2015 by Wirths et al., with a transition from indirect to direct bandgap occurring for a Sn content of around 12%. Thermal budget remains a major challenge in many aspects of emerging nanoelectronics and optoelectronics devices. This is particularly true for high Sn content Ge(Si)Sn layers. Indeed, thermal budget minimization (with temperatures definitely lower than 450°C) is a must during all thermal epitaxy and annealing steps. We have therefore studied the growth kinetics, in an Applied Materials reactor, of pure Ge and of GeSi alloys with a dedicated germanium gaseous precursor, e.g. digermane (Ge2H6). After a benchmarking of Ge2H6 and GeH4 for the growth of pure Ge at temperatures below 500 °C, we have studied the combination of digermane with either disilane (Si2H6) or dichlorosilane (SiH2Cl2) for the 475°C, 100 Torr growth of Ge-rich SiGe. At temperatures less than 400°C (e.g. in the H desorption from the surface limited regime), the use of digermane yielded significantly higher Ge growth rates at 100 Torr, for instance 5.6 nm.min-1 with Ge2H6 compared to 0.14 nm.min-1 only with GeH4 at 350 °C. We have otherwise evidenced (i) a linear increase of the Ge growth rate with the Ge flow at 400°C, 100 Torr (5 times higher growth rates with Ge2H6 than with GeH4, however) and (ii) a linear decrease of the 400°C growth rate of Ge from Ge2H6 as the chamber pressure was increased. Meanwhile, the Ge growth rate from GeH4 was steady as the total pressure increased. We have carefully studied, for a fixed Ge2H6 mass-flow rate, the GeSi growth kinetics at 475 °C, 100 Torr using both disilane (Si2H6) or dichlorosilane (SiH2Cl2) as the Si gaseous precursor. Although the GeSi growth rates were not that different (e.g. in the 9 to 15 nm min.-1 range), definitely higher Ge concentrations were obtained with SiH2Cl2 (at most 82 %) than with Si2H6 (at most 53%). The dependency of the Ge content x on the F(Ge)/F(Si) mass-flow ratio, was well accounted for by a x²/(1-x)² = p * F(Ge)/F(Si) relationship, with p = 15.1 for SiH2Cl2 and p = 1.7 for Si2H6 . The interest of using SiH2Cl2 instead of Si2H6 has also been confirmed by AFM measurements. A limited number of islands were indeed present on the surfaces of GeSi films grown with SiH2Cl2. By contrast, the GeSi surfaces were really rough when Si2H6 was used. An in-depth study is otherwise underway to demonstrate the interest of using Ge2H6 and PH3 in order to grow at very low temperatures (e.g. 350°C) and 100 Torr high crystalline quality Ge:P layers with very high P ions concentrations: at most 7.5x1019 cm-3. Some data of this will also be presented during the conference.

K.PI.28
13:30
Authors : F. Langer, T. Südkamp, D. Bougeard, H. Bracht
Affiliations : Institute of Materials Physics, Westfälische Wilhelms-Universität Münster, 48149 Münster, Germany; Institute of Materials Physics, Westfälische Wilhelms-Universität Münster, 48149 Münster, Germany; Institute of Experimental and Applied Physics, University of Regensburg, 93040 Regensburg, Germany; Institute of Materials Physics, Westfälische Wilhelms-Universität Münster, 48149 Münster, Germany

Resume : So far, experiments on the diffusion of boron (B) in germanium (Ge) were performed under electronically intrinsic conditions realizing both thermal equilibrium [1] and non-equilibrium concentrations [2] of native point defects. The activation enthalpy of B diffusion exceeds the activation enthalpy of Ge self-diffusion (3.13 eV) by 1.5 eV. This disparity suggests a Ge self-interstitial (I) rather than vacancy (V) mediated B diffusion [1]. This interpretation is supported by B diffusion under non-equilibrium conditions established by irradiation [2,3]. On the other hand, theoretical calculations [4] predict a repulsive interaction between substitutional B and V and suggest a diffusion activation enthalpy of B diffusion via V that also exceeds the activation enthalpy of self-diffusion. To gain additional information about the mechanism of B diffusion in Ge we performed experiments under extrinsic doping conditions realized by high concentration gallium diffusion into an epitaxially grown layer of Ge with B-delta spikes. In addition, diffusion experiments with epitaxially grown Ge structures containing different B-doping levels were performed. Our studies provide direct information about the charge state of the mobile B-defect pair that mediates B diffusion. [1] S. Uppal et al., J. Appl. Phys. 96, 1376 (2004). [2] E. Bruno et al., Phys. Rev. B 80, 033204 (2009). [3] S. Schneider et al., Phys. Rev. B 87, 115202 (2013). [4] A. Chroneos, J. Appl. Phys. 107, 076102 (2010).

K.PI.30
13:30
Authors : E. Undheim [1], K. E. Ekstrøm [1], L. Arnberg [1], R. Holmestand [2], M. Di Sabatino [1]
Affiliations : [1] Department of Materials Science and engineering, Norwegian University of Science and Technology (NTNU), 7491 Trondheim, Norway [2] Department of Physics, Norwegian University of Science and Technology (NTNU), 7491 Trondheim, Norway

Resume : The efficiency of a multicrystalline silicon (mc-Si) wafer is mainly determined by its impurity content and structure. Improvement of the efficiency requires a reduction of the impurities, which would increase the cost, or better control over the grain structure of the ingot. The nucleation stage significantly affects the grain structure formation. Previous work from our group has shown that β-Si3N4 particles are the most likely nucleation substrate for mc-Si ingots cast in α-Si3N4 coated SiO2 crucibles. The aim of this work was to confirm this hypothesis. Samples were subjected to differential thermal analysis with varying holding times. The holding time here represents a period of constant temperature before cooling the sample. With a longer holding time, the α-Si3N4 to β-Si3N4 transition occurs over a longer period, resulting in larger β-particles and a higher β to α ratio. The combination of particle analysis and scanning electron microscopy showed that by increasing the holding time the β to α ratio increases and the β-particles increase in size, confirming our assumptions. It was also found that the nucleation undercooling decreases with holding time, indicating that larger β-particles and a higher β to α ratio increase the nucleation potency. These findings strongly indicate that β-Si3N4 particles are the dominant nucleation substrate for mc-Si ingots cast in Si3N4 coated crucibles. This research forms the basis for an understanding of nucleation mechanisms in mc-Si and further studies will focus on how to exploit these features for greater control of the grain structure.

K.PI.31
13:30
Authors : B. Pivac1*, Ž. Milanović2, I. Zulim3
Affiliations : 1Ruđer Bošković Institute, Bijenička 54, 10000 Zagreb, Croatia 2Faculty of Engineering, University of Rijeka, Vukovarska 58, 51000 Rijeka, Croatia 3Faculty of Electrical Engineering, Mechanical Engineering and Naval Architecture, University of Split, Ruđera Boškovića b.b., 21000 Split, Croatia,

Resume : Permanent scaling of MOS devices pushes the ultrathin gate dielectrics into sub 2-nm regime. Physical mechanisms such as direct tunneling, surface roughness, quantum confinement, and polysilicon depletion must be accounted for when determining the gate leakage current and C-V characteristic. Recently it has been demonstrated that the intrinsic reliability limit is fewer than six atomic layers, which is about 1.5 nm. Such thickness of dielectrics is found not only in modern MOS devices but also in solar cells based on quantum structures. In this work we explored the impact of tunneling and surface roughness on the gate capacitance of ultra-thin dielectrics and solar cells open circuit voltage simulated using continuum percolation model. It is demonstrated that the surface roughness has a dominant impact on C-V characteristics. We have also shown that the direct tunneling often dominates over Fowler-Nordheim tunneling for the device leakage current. The results will be discussed in the light of application for solar cells using different dielectrics.

K.PI.32
13:30
Authors : V.A. Borodin (1,2), M.G. Ganchenkova (2), N.N. Gerasimenko (3)
Affiliations : (1) RRC Kurchatov Institute, Kurchatov Pl., 1, 123182 Moscow, Russia (2) NRNU MEPhI, Kashirskoe sh. 31, 115409 Moscow, Russia (3) Moscow Institute of Electronic Technology, Zelenograd, lane 4806, 5, 124498 Moscow, Russia

Resume : Rod-like defects (RLDs) are specific interstitial defects formed in silicon under ion implantation. RLD is a planar array of parallel 1D interstitial chains [1] that nucleate from freely migrating interstitials. Surprisingly little is known about their nucleation pathway. The known lowest-energy configurations of small interstitial clusters (up to tetra-interstitials) provide no clue why an interstitial cluster evolves into a chain. Molecular dynamics simulations with several interatomic potentials (Stillinger-Weber, Tersoff, EDIP, MEAM) are applied to clarify the early growth laws of RLDs in silicon. Ab initio calculations are used to justify the predictions of semi-empirical potentials, especially where these provide contradicting picture, as is the case for very small interstitial clusters. The results show that the primary interstitial chain nucleus is a metastable tetra-interstitial, which is remarkably higher in energy that the known ground state, but easily forms from the most favorable tri-interstitial. This nucleus expands naturally into a 1D interstitial chain that consists of a mix of split and extended split interstitials and that is unanimously identified by all used potentials as the lowest energy one. According to ab initio simulations, the chain should eventually transform into a configuration compatible with the classic model of ref. [1], while MD provides a suggestion for the transformation route. [1] M. Kohyama, S. Takeda, Phys.Rev.B 46, 12305 (1992).

K.PI.33
13:30
Authors : A. Djeffal, Y. Lu, M. Stoffel, S. Mangin, H. Rinnert
Affiliations : Université de Lorraine, UMR CNRS 7198, Institut Jean Lamour, BP 70239, F-54506 Vandoeuvre-les-Nancy, France

Resume : Germanium (Ge) has recently emerged as a promising material for spintronics thanks to the rather large spin-orbit coupling and the long electron spin lifetime. Among all spin-based physics, spin injection into Ge has gained an increasing interest during the last years. In most cases, however, the ferromagnetic layer is characterized by an in-plane magnetization. To fulfill the optical selection rule, an external magnetic field is applied to rotate the magnetization out-of-plane, which is not suitable for practical application. The development of an injector with perpendicular magnetic anisotropy is therefore essential for future Ge-based spintronics. In this work, we investigate the growth of CoFeB/MgO thin films directly on Ge(001) substrates by using a combination of MBE and sputtering. The samples were further capped by a thin Ta layer. The magnetic properties are studied by means of Superconducting Quantum Interference Device (SQUID) magnetometry. By carefully tuning the growth conditions as well as the post-growth annealing temperature, we demonstrate the possibility to obtain a perpendicular magnetic anisotropy in CoFeB/MgO/Ge(001) structures at 10 K. The optimized structure is obtained for a CoFeB layer thickness of 1.1 nm and a post growth annealing temperature of 250°C. The temperature dependence of the magnetic anisotropy is further investigated. The potential interest of CoFeB/MgO/Ge(001) structures for the realization of Ge-based spin photodiodes is discussed.

K.PI.35
13:30
Authors : Y. Ahmane1, F. Mechachti2, L. Choukri3, Z. Skanderi2, A. Djebaili2*; Ilhem. R. Kriba2 , J.P. Chopart4
Affiliations : 1 Faculty of Sciences- Department of Chemistry - University of Biskra- Algeria 2 Laboratory of chemistry and environmental chemistry L.C.C.E - University of Batna- Algeria 3 Laboratoiry of chemistry. Faculty of Sciences. University of Boumerdes- Algeria. 4 Laboratory of Mechanical Stress-Transfer Dynamics at Interfaces – LACMDTI URCA,BP 1039, 51687 University of Reims Cedex2, France

Resume : The optimization of the geometric and electronic structure of polyacetylene with the different substituents of donor and acceptor functional groups was conducted with the basis set of 3-21G and 6-31 G**(d,p). The rates of these isomerizations and Arrhenius geometric parameters were determined. In the case of unsubstituted polyacetylene as a reference, the values of the equilibrium constant for the isomerization reaction were also determined at various temperatures between 300 and 500 K as well the value of the change in energy. The results show that the energy of the isomerization reaction are positive and the values of the gap are very sensitive to the effect of the substituent.

K.PI.36
13:30
Authors : D.Kropman, T.Laas,V.Mikli,V.Seeman.
Affiliations : Tallinn University of Technology,Tallinn University,Tartu University.

Resume : It is known that internal mechanical stresses (IMS) due to the differences in the thermal expansion coefficients between films and substrates and lattice mismatch appear in the Si-SiO2 system during the process of its formation and that point defects (PD) generation and redistribution could be used to reduce partially the surface stress. However, this process on the atomic scale is till not studied. The goal of the present report is to investigate the stress relaxation mechanism in the Si-SiO2 system using EPR, IR absorption spectroscopy, scanning elektron microscopy (SEM) and samples deflection measurements. PD density and stresses in the Si-SiO2 system were varied by oxidation condition (temperature, time, cooling rate, ambient) and by Si3N4 deposition on SiO2. Different sign of the thermal expansion coeffIt is known that internal mechanical stresses (IMS) due to the differences in the thermal expansion coefficients between films and substrates and lattice mismatch appear in the Si-SiO2 system during the process of its formation and that point defects (PD) generation and redistribution could be used to reduce partially the surface stress. However, this process on the atomic scale is till not studied. The goal of the present report is to investigate the stress relaxation mechanism in the Si-SiO2 system using EPR, IR absorption spectroscopy, scanning elektron microscopy (SEM) and samples deflection measurements. PD density and stresses in the Si-SiO2 system were varied by oxidation condition (temperature, time, cooling rate, ambient) and by Si3N4 deposition on SiO2. Different sign of the thermal expansion coefficient of the SiO2 and Si3N4 on Si allow to modifay the IMS at the interface. It has been found that samples deflection decreases or increases simultaneously with EPR signal intensity depending on the oxidation condition (temperature). At lower temperature (1100 C) the deflection of the samples decreases with the increase of EPR signal intensity (vacancies),while at a higher oxidation temperature (1200 C) EPR signal and the deflection increase simultaneously. Those allows to suggest that at lower oxidation temperature PD reduce the IMS in Si, while at higher oxidation temperature IMS created PD in SiO2. This enable to assume, that at lower oxidation temperature tensile stresses in Si and at higher oxidation temperature compressive stresses in SiO2 appear at the Si-SiO2 interface.At an intermediate oxidation temperature tensil and compressive stresses may be nearly equal and dissapear. It has been find that at oxidation temperature 1130 C IMS at the Si-SiO2 interface are lower than at 1100 C and 1200 C.

K.PI.41
13:30
Authors : D.Kropman, T.Laas,V.Seeman,A.Medvids.
Affiliations : Tallinn University of Technology,Tallinn University, Tartu University, Riga Technical University.

Resume : The effect of ultrasonic treatment (UST) on the defect structure of the Si–SiO2 system by means of electron spin resonance (ESR), metallography, MOS capacitance technique and secondary ions mass-spectroscopy is presented. The non-monotonous dependence of the point defect densities on the US wave intensity has been observed. The US frequency influence on the ESR signal intensity of the defect centres depended on the defects type and may be caused by vibrational energy dissipation which are a function of defect centre’s type. The density of point defects and absorbed impurities at the Si–SiO2 interface can be reduced by appropriate choise of UST condition. Investigation of the dependence of the spin density on the US frequency of the UST in Si-SiO2 samples with [111] and [100] crystallographic orientation was carried out. It has been shown that the influence of the US frequency and the sample orientation on the ESR signal intensity varies for different centres. The frequency and orientation dependence of the ESR signal with g=l.9996 and the lack of this dependence for the centres with g=2.0055 show that the vibrational energy dissipation depend on the type of defect centres and their orientation. Defect density grows with an increase of the US intensity or changes nonmonotonously depending on the oxides thickness. In the samples with thick oxide there is a maximum in the dependence of the charg carriers life time on the US wave amplitude and in the samples with thin oxides-a minimum. This shows that the structural defects form electrically active centres and their density can be varied by UST.

K.PI.42
13:30
Authors : K. Liu1,2, J-N Aqua2, A. Ronda1,T., David1, M.Naffouti1, M. Abbarchi1, J-B Claude1, L. Favre1,P.Voorhees3 ,I. Berbezier1
Affiliations : 1 CNRS – AMU – IM2NP, Campus de St Jérôme, Case 142, 13397 Marseille CEDEX 20 2 INSP - Boîte 840, 4 pl. Jussieu, 75005 Paris 3 2220 Campus Drive Cook Hall 2036 Evanston, IL 60208. Northwest University

Resume : Self-organisation of small coherent Ge islands with homogeneous size and high density remains a challenging issue for both fundamental interests and a wide range of applications. Up to now it was concluded that the elastic field between Ge islands is repulsive and prevents any self-organisation of homogeneous islands. In recent experimental work, we observed a nice alignment of SiGex (x>0.45) islands that nucleate adjacently with both their sides and their corners almost in contact[1]. These observations contradict the theoretical predictions already reported in the literature and deserve a joined theoretical/experimental study to understand the mechanism. The 2D-3D transition and the formation of (105)-facet-bounded huts is commonly explained by the combination of first-principle and continuum calculation that describes the quantitative evolution of the surface energy with the deposited thickness (wetting effect) [2], [3] and with strain for both (105) and (001) facets[4]. In this study, we developed a nucleation theory[5] in order to quantitatively determine the transition between the nucleation-less ATG instability and the nucleation regime. We compute the energy barrier for nucleation as a function of the alloy composition that rules the elastic energy and show that the nucleation barrier significantly overcomes the thermal fluctuations at x~0.5. Thus, one expects nucleation to be at work only above such composition, in agreement with experimental results. In the nucleation regime, we then study the possibility of spatially correlated nucleation. We add the surface stress effects that are related to the strain dependence of the surface energy. The inhomogeneity of strain around one island thence alters the energy balance for nucleation of a new island. We find that in narrow experimental conditions, the dynamics of nucleation promotes the nucleation of new islands close to each other and favors the island-island mutual attraction during growth. These theoretical results are in good agreement with the TEM phase observations that evidence the alignment of small hut islands with homogeneous size in close contact. This study opens new routes for the self-organisation of homogeneous Ge rich islands, which could provide efficient strain-based band-gap engineering. The results show that in specific growth regimes, coherent small and homogeneous islands could totally pave the surface before to coalesce contrary to what has been reported in literature. Our results are generic and could be extended to various epitaxial systems and lead to new generation of systems enabling quantum confinement manipulation and control of band-gap.

K.PI.43
13:30
Authors : Vl. Kolkovsky, E. Kurth, and C. Kunath
Affiliations : Fraunhofer Institute for Photonic Microsystems, Maria-Reiche-Str. 2 01109 Dresden Germany

Resume : Ta2O5/SiO2 stack structures are promising candidates for using in the gate electrode as ion sensitive dielectric material. The SiO2 layer acts as a reaction barrier at the Ta2O5/Si interface during the Ta2O5 deposition and it avoids the formation of undesirable SiOx layers. In the present study the structural and electrical properties of Ta2O5/65 nm SiO2 structures with different thickness of Ta2O5 varying in the range of 0 nm – 260 nm are investigated. We find that the stack structures grown by the magnetron sputtering technique and annealed at 950 °C in O and Ar atmosphere show one of the highest dielectric constant of Ta2O5 (about 64) among those reported previously in the literature. The structure of the annealed polycrystalline Ta2O5 films is orthorhombic as obtained from X-ray diffraction measurements. The leakage current in the stack structures is a factor of 20 higher compared to that in thin films without Ta2O5. The conduction mechanism in the stack structures can be described by the Fowler-Nordheim model with the barrier height depending on the thickness of the films. We correlate the changes of the barrier height with different values of compressive stress observed in the films with different thickness of Ta2O5. Deep level transient spectroscopy studies exhibit one peak at about 260 K in the stack structures. The activation enthalpy of this defect is 320 meV and its apparent capture cross section is about 1×10-19 cm2. The origin of this defect is discussed.

K.PI.44
13:30
Authors : Abdelkrim FEDALA, Katia HAMMOUDI
Affiliations : Laboratoire de physique des matériaux, Equipe Couches Minces et Semiconducteurs Faculté de Physique, USTHB, B.P. 32, El Alia, 16111 Bab-ezzouar, Algers, ALGERIA

Resume : Au/Si:H/Si1-XGeX:H heterojunction Schottky solar cells were fabricated on doped n+ crystalline Si substrate. Hydrogenated amorphous silicon-germanium alloy (Si1-XGeX:H) and silicon (Si:H) with thicknesses of 1µm and 0.27 µm, respectively, were deposited at 150°C temperature substrate by DC magnetron sputtering method. Three values of X (0.4, 0.5, and 0.6) are reported. Semitransparent Au thin layer was deposited using thermal evaporation system. The multilayer structures were characterized by I-V dark measurements and spectral photo response of the open circuit voltage (Voc) and short current (ISC) in the wavelength () ranging from 400 to 1100 nm under controlled conditions of temperature and monochromatic light power. Then the external quantum efficiency QE() is deduced. From dark I-V characteristics all structures show Schottky contact behaviour. Voc() and ISC() spectral responses show two peaks at 500 and 600nm with an enhancement of the photovoltaic characteristics when X decreases. The maximum value of the Voc() spectrum at 500nm increases from 0.28 to 0.33 V when X varies from 0.6 to 0.4. In the same time the QE increases from 0.1 to 0.2. We believe that both Si and SiGe layers contribute to the Schottky structure photovoltaic effect. Key words: SiGe, Schottky, solar cells, sputtering.

K.PI.45
13:30
Authors : Junkang Wang, Ileana Florea, Pavel Bulkin, Jean-Luc Maurice, Erik Johnson
Affiliations : Junkang Wang, LPICM-CNRS, Ecole Polytechnique, France; Ileana Florea, LPICM-CNRS, Ecole Polytechnique, France; Pavel Bulkin, LPICM-CNRS, Ecole Polytechnique, France; Jean-Luc Maurice, LPICM-CNRS, Ecole Polytechnique, France; Erik Johnson, LPICM-CNRS, Ecole Polytechnique, France

Resume : Due to its stronger light absorption in the infrared compared to a-Si:H, and its electric stability under light exposure, μc-Si:H remains a promising active material for photovoltaics, and currently holds the record for a single junction thin-film silicon solar cell. However, the existence of “nanoporous” regions within the material can still be a severe limitation to the final device performance. Many questions remain concerning approaches to better control material quality. To advance knowledge on this subject, we present studies performed on a matrix-distributed electron cyclotron resonance (MDECR) PECVD reactor, using a SiF4/H2 chemistry and at deposition rates up to 7Å/s. The focus of the study was the impact of ion bombardment energy (IBE) on μc-Si:H film properties. The prepared samples have been studied using ellipsometry, electron microscopy in STEM mode, and FTIR, revealing specific details about the material’s microstructural properties. In this work, we underline three recently obtained results: (1) the vital role of IBE on the quality of growth, supported by the monotonous increase of <εi>max up to a certain value of IBE, (2) observation of “nanoporous” regions and how are they affected by the IBE, and (3) the strong link between these regions and post-oxidation. These studies along with residual gas analysis studies and optical emission spectroscopy results allow us to find the appropriate parameters for the synthesis of high quality μc-Si:H material.

K.PI.47
13:30
Authors : K. Gwozdz, E. Placzek-Popko, E. Zielony, Z. Gumienny, R. Pietruszka, K. Kopalko, M. Godlewski
Affiliations : Department of Quantum Technologies, Faculty of Fundamental Problems of Technology, Wroclaw University of Technology, Wybrzeze Wyspianskiego 27, 50-370 Wroclaw, Poland; Department of Quantum Technologies, Faculty of Fundamental Problems of Technology, Wroclaw University of Technology, Wybrzeze Wyspianskiego 27, 50-370 Wroclaw, Poland; Department of Quantum Technologies, Faculty of Fundamental Problems of Technology, Wroclaw University of Technology, Wybrzeze Wyspianskiego 27, 50-370 Wroclaw, Poland; Department of Quantum Technologies, Faculty of Fundamental Problems of Technology, Wroclaw University of Technology, Wybrzeze Wyspianskiego 27, 50-370 Wroclaw, Poland; Institute of Physics, Polish Academy of Sciences, al. Lotnikow 32/46, 02-668 Warsaw, Poland; Institute of Physics, Polish Academy of Sciences, al. Lotnikow 32/46, 02-668 Warsaw, Poland; Institute of Physics, Polish Academy of Sciences, al. Lotnikow 32/46, 02-668 Warsaw, Poland, Department of Mathematics and Natural Sciences College of Science, Cardinal Stefan Wyszynski University,Dewajtis 5, 01-815 Warsaw, Poland;

Resume : I-st generation solar cells based on a monocrystalline silicon still dominate the PV market. In order to improve the efficiency of a solar cell a compromise between its price and crystalline quality must be found. With reference to this issue the knowledge of defects present in the material is of premium importance. We report on the studies of defects in Schottky Ti - commercially available cheap Si wafers. The electrical properties of the diodes were defined by using I-V and C-V measurements and the fundamental parameters were obtained such as built in voltage (0.68 V), ideality factor (1.63) and series resistance (3.4 Ω). From the analysis of the double logarithmic I-V plot it was concluded that for the low forward voltage diffusion and recombination are the main mechanisms of current transport, while for the higher voltages space charge limited current dominates. The deep level traps related to electrically active defects were characterized by using the DLTS method. The DLTS signal yielded three well resolved peaks connected with the traps of activation energy Ea1 = 0.093 eV and concentration Nt1=0.05% of acceptor concentration, Na, Ea2 = 0.38 eV, Nt2 = 0.03% Na and Ea3 = 0.54 eV and Nt = 0.01% Na. Possible origin of these traps was discussed with reference to literature[1,2] . [1] S. D. Brotherton, P. Bradley, and A. Gill, Journal of Applied Physics 57, 1941 (1985). [2] Z.-Q. Fang, B. Claflin, D. C. Look, L. L. Kerr, and X. Li, Journal of Applied Physics 102, 023714 (2007).

K.PI.48
13:30
Authors : Ivo Costa, M.C. Brito and J.M. Serra
Affiliations : Instituto Dom Luiz, Faculdade de Ciências, Universidade de Lisboa, 1749-016 Lisboa, Portugal

Resume : The important growth of the PV industry, which is dominated by silicon is increasing the need for new silicon feedstock. Sintered silicon material is gaining interest as an alternative to more classical routes. In this work we present a method to crystalize sintered silicon wafers by using an electric current. This technique can be used to crystallize silicon over an insulating substrate as well. Results on the relevant parameters for crystallization, the experimental setup and the resulting crystal structure are presented.

K.PI.49
Start atSubject View AllNum.Add
 
Carbon Defects : Simona Binetti and Vladimir Markevich
08:30
Authors : Satoko Nakagawa, Yuta Nagai, Mitsuo Higasa, Kazuhiko Kashima
Affiliations : GlobalWafers Japan Co., Ltd

Resume : We report a calibration curve for quantitative analysis of low concentrations of carbon in silicon (Si) by luminescence activation with electron irradiation. A detection method for low carbon concentrations of less than 10^14 atoms/cm^3 in Si crystals is required for developing advanced Si-insulated gate bipolar transistor (Si-IGBT) devices because even low-concentration carbon impurities can affect the device performance. However, the carbon concentration level that affects the device performance has not been defined because conventional detection methods cannot quantify carbon concentrations of less than 10^14 atoms/cm^3. Photoluminescence is a powerful tool for evaluating low-concentration impurities that are luminescence centers. Two well-known carbon-related strong luminescence centers, Ci-Oi (C-line at 0.79 eV) and Ci-Cs (G-line at 0.97 eV), can be generated by luminescence activation using electron irradiation. We used these luminescence centers and constructed a calibration curve to investigate the effects of low-concentration carbon impurities in Si crystals on carrier lifetime. By using the calibration curve, we demonstrated that a magnetic-field-induced Czochralski Si crystal of 200 mm diameter with a carbon concentration of less than 1 × 10^14 atoms/cm^3 exhibited a bulk carrier lifetime longer than that of a floating-zone Si crystal. Furthermore, we constructed a calibration curve for Si epitaxial wafers and determined carbon concentrations on the order of 10^9 to 10^14 atoms/cm3^ in Si epitaxial layers.

K.10.1
09:45
Authors : Vl. Kolkovsky,1 R.Stübner,2 and J. Weber2
Affiliations : 1Fraunhofer Institute for Photonic Microsystems, Maria-Reiche-Str. 2 01109 Dresden Germany 2Technische Universität Dresden, 01069 Dresden, Germany

Resume : The origin of several deep traps E40, E90, E260, and H180 in hydrogenated n-type and p-type C-doped Si is investigated. Comparing the depth profiles of these defects in samples with different C, O, H, and doping concentrations we conclude that they belong to C-H-related defects with one C and one H atom. The similar annealing behaviour and identical depth profiles of E40 and E260 correlate them with two different charge states of the same defect. From a comparison to earlier calculations we attribute E40 to the double acceptor and E260 to the single acceptor state of the CH1AB complex. In good agreement with the results of the previous studies E90 can be assigned to the acceptor state of the CH1BC complex. Our preliminary Laplace DLTS studies on SiGe with a Ge content <5% show a different local Ge environment for E90 and H180 in their nearest and second nearest neighbourhood. We interpret this as an indication for the different origin of these defects. After annealing the samples under reverse bias at 320 K another CH-related defect (CHB) can be detected by Laplace DLTS in n-type Si. Previously, this defect was often wrongly assigned to the single acceptor state of CH1BC. The field effect dependence of the emission rate of CHB shows that it is a single donor. The depth profile identifies CHB as a more complex defect compared to E90. Our results on the carbon-hydrogen complex give a first conclusive explanation of previously reported controversial experimental data.

K.10.4
 
Materials for Power Devices : Hiroshi Yamada-Kaneta and Gudrun Kissinger
10:30
Authors : SHINJI TOGAWA
Affiliations : Crystal Engineering Department, SUMCO CORPORATION

Resume : It is expected that the demand of power semiconductors will enlarge in the future. PowerMOS and IGBT in particular have become focus of attention and the performance enhancement is accelerated every day. Quality improvement of the silicon wafer is indispensable for PowerMOS and IGBT to enhance their performance. Especially for high voltage IGBT, high pure, high resistivity and stable resistivity radial profile is required to used Si wafers. Against this requirement, FZ-Si/MCZ crystals is considered most suitable and widely used. In this presentation, characteristics of FZ silicon wafer for IGBT and their technical matters are reported.

K.11.1
11:00
Authors : Takahide Sugiyama
Affiliations : Toyota Central R&D LABS., INC.

Resume : While efforts have increasingly severe towards the global reduction of CO2 emissions, it is clear that automobile of electric promotion is becoming increasingly important. Regardless of the type of electrification vehicle, such as hybrid electrical, pure electric, fuel cell, the basic unit has been universally comprised of a battery / inverter / motor, and so far silicon power devices, such as IGBTs and PIN diodes, have been used in the inverters. In other words, progress of silicon power devices research should have been engaged in order to support and develop the automobile of electric promotion. The understanding of kinetics of recombination and generation mechanisms has been basic and most important for silicon power devices which operated by bipolar modes, and it is promised to useful to develop wide band gap materials power devices. In this report, as obtained research results through the development of silicon power devices with 1kV beyond the breakdown voltage and several hundred amperes of rated current for hybrid vehicles, kind of carrier traps, the energy levels, and the point defects to be its origin introduced by each of lifetime control technology, Electron beam irradiation, Helium irradiation, Pt diffusion, and the characterized experimental PIN-diodes device properties are investigated by using device simulation with taking account into the traps model and Deep Level Transient Spectroscopy (DLTS) measurements. Moreover we focused PIN diodes act as free-wheeling of electric power, the relationship between kinds of device properties, such as dynamic avalanche phenomenon etc. and point defects related impurities, such as carbon and/or oxygen, are discussed by various obtained experimental and theoretical results, such as Cathode Luminescence (CL) and first principle calculation based on density function theory (DFT). Finally, for thin wafer silicon IGBTwhich is major structure in modern and used in widely, the evaluation of process induced defects for the collector layer fabrication on the back surface are introduced by photoconductive decay method and CL method.

K.11.2
11:30
Authors : Martin Faccinelli(1), Stefan Kirnstoetter(1), Moriz Jelinek(2), Johannes G. Laven(3), Hans-Joachim Schulze(3), Thomas Wuebben(2), Peter Hadley(1)
Affiliations : (1) Graz Technical University, Institute of Solid State Physics (2) Infineon Technologies Austria AG (3) Infineon Technologies AG

Resume : Hydrogen can be introduced into silicon by means of high energy implantation. The implantation depth depends on the energy. A subsequent temperature treatment can trigger the diffusion of hydrogen, vacancies and interstitials that were generated during the implantation and which react with residual oxygen, carbon, and other defects in the silicon. As a result, a variety of defect complexes are formed that modify the electrical and mechanical properties of the silicon. For instance, a reduction of minority carrier lifetime [1], n-type doping [2], and at high concentrations aggregation into large platelet-like defects [3] have been observed. During the last couple of decades, an understanding of the changes in the material properties caused by proton implantation has been established. However, there is no single measurement technique that can determine the concentration and type of defects. Thus, a large number of methods such as Deep Level Transient Spectroscopy (DLTS), Photoluminescence (PL), Electron Spin Resonance (ESR), and Spreading Resistance Profiling (SRP) are applied and even then, the determination of the types and concentrations of defects is incomplete. We have developed a process simulation to describe the implantation and the annealing process. The simulation is based on diffusion and reaction equations. Hydrogen, vacancy, and interstitial concentration profiles derived from SRIM-simulations [4] plus a uniform concentration of oxygen, carbon, and dopants serve as the starting conditions for the simulations. The formation of more than fifty additional defects due to the reaction of initial defects is taken into account. These additional defects include, e.g., higher-order vacancy complexes as the di-vacancy and the A-center. The reactions are described by diffusion-controlled reactions between two point defects. Hence, the rate constants of these second order reactions is calculated from the diffusion constants of the reaction partners and the capture radius of the reaction. Additionally, the dissociation of certain defect complexes is also considered by using thermally activated dissociation frequencies [5]. The simulation results are compared to depth profiles of electrically active defects taken from SRP-measurements and profiles of aggregated lattice defects taken from Transmission Electron Microscopy (TEM) measurements. [1] D. C. Swako and J. Bartko. “Production of fast switching power thyristors by proton irradiation”. In: IEEE Transactions on Nuclear Science 30 (1983), pp. 1756–1758. [2] J. Hartung and J. Weber. “Shallow hydrogen-related-donors in silicon”. In: Physical Rreview B 48 (1993), pp. 14161–14166. [3] M. Bruel. “Silicon on insulator material technology”. In: Electronics Letters 31 (1995),pp. 1201–1202. [4] J.F. Ziegler, M. D. Ziegler and J. P. Biersack. “SRIM (Version 2013.00)”. (1984-2012), http://www.srim.org [5] J. G. Laven et. al. “Activation and Dissociation of Proton-Induced Donor Profiles in Silicon”, In: ECS. J. Solid State Sci. Technol. 2 (2013), pp. P389-P394

K.11.3
11:45
Authors : Nicholas E. Grant, Vladimir Markevich, Jack Mullins, Tony Peaker, Fiacre Rougieux, Daniel Macdonald and John Murphy
Affiliations : University of Warwick (Nicholas E. Grant, John Murphy) University of Manchester (Vladimir Markevich, Jack Mullins, Tony Peaker) Australian National University (Fiacre Rougieux, Daniel Macdonald)

Resume : We have observed very large changes in the minority carrier lifetime when high purity float-zone (FZ) silicon wafers are subject to heat-treatments in the range of 200–1100˚C. Recombination defects were found to become activated upon annealing at 450–700˚C, causing significant reductions in the bulk lifetime. Photoluminescence imaging of wafers annealed at 550˚C revealed concentric circular patterns, with lower lifetimes occurring in the centre, and higher lifetimes around the periphery. The circular patterns suggest the defect originates from the growth conditions of the ingot, where the core of the crystal primarily consists of a vacancy related defect, and that around the periphery consist of an interstitial rich or defect neutral region. Deep level transient spectroscopy measurements on samples extracted from the centre of an n-type FZ silicon wafer annealed at 500˚C revealed a large variety of defects with activation energies ranging between 0.16–0.44eV, however for samples extracted from the edge of the wafer, only one defect with an activation energy of 0.16 eV could be observed. For p-type silicon, a dominant trap with an energy level at ~Ev 0.5 eV was induced by annealing at 500˚C. Our measurements indicate that vacancy related defects are causing the severe degradation in lifetime when FZ wafers are annealed at 450–700˚C. Upon annealing FZ silicon at temperatures >800°C, the lifetime is completely recovered, whereby the defect-rich regions vanish and do not reappear, thus making the material suitable for high efficiency solar cells and power devices.

K.11.4
 
Diffusion and Hydrogen Related Defects : Bengt G. Svensson and Yutaka Ohno
13:30
Authors : S. Mirabella1, D. De Salvador2, E. Napolitani2, E. Bruno1, F. Priolo1, N. E. B. Cowern3
Affiliations : 1. MATIS CNR-IMM and Dipartimento di Fisica e Astronomia, Università di Catania, via S. Sofia 64, 95123 Catania, Italy 2. MATIS CNR-IMM and Dipartimento di Fisica e Astronomia, Università di Padova, via Marzolo 8, 35131 Padova, Italy 3. School of Electrical and Electronic Engineering, Newcastle University, Newcastle upon Tyne NE1 7RU, United Kingdom

Resume : Driven by the miniaturization trend in electronic devices, in the current technological node boron diffusion in silicon or germanium crystals occurs under severe and concomitant conditions (non-equilibrium point defect density, amorphous-crystal transition, extrinsic doping level, co-doping, large concentration gradients, B clusters formation and dissolution, …). This circumstance heavily affects the diffusion coefficient and frustrates any description based only on Fick’s laws. In this talk, B diffusion mechanism will be is presented, disentangling concomitant effects and describing the underlying physics. In amorphous Si, B migration (orders of magnitude faster than in crystalline Si) is activated by dangling bonds, whose density is enhanced by B itself, originating a self-enhanced diffusion. In crystalline Si, B diffusion is mediated by a self-interstitial (I) in the neutral state (intrinsic Si) or in the doubly positively charged state (extrinsic, p-type doping). In crystalline Ge, B motion is by far less evident than in Si, even if it can be largely enhanced by increasing the Is’ density well above the equilibrium (as during ion irradiation). With respect to Si, the lower B diffusivity and the larger activation barrier (4.65 eV, rather than 3.45 eV in Si) are explained by assuming that the migration mechanism is mediated by a self-interstitial, at relatively low temperatures, and by a new defect species (named morph: a self-interstitial like, high entropy defect), at higher temperature.

K.12.1
14:00
Authors : T. Südkamp, K. Itoh, M. Uematsu, T. Isoda, Y. Shimizu, H. Bracht
Affiliations : Institute of Materials Physics, Westfälische Wilhelms-Universität Münster, 48149 Münster, Germany; School of Fundamental Science and Technology, Keio University, 3-14-1 Hiyoshi, Kohoku-ku, Yokohama 223-8522, Japan; School of Fundamental Science and Technology, Keio University, 3-14-1 Hiyoshi, Kohoku-ku, Yokohama 223-8522, Japan; School of Fundamental Science and Technology, Keio University, 3-14-1 Hiyoshi, Kohoku-ku, Yokohama 223-8522, Japan; The Oarai Center, Institute for Materials Research, Tohoku University, Oarai, Ibaraki 311-1313, Japan; Institute of Materials Physics, Westfälische Wilhelms-Universität Münster, 48149 Münster, Germany

Resume : The contribution of vacancies (V) and self-interstitials (I) to self-diffusion (SD) of silicon (Si) is controversially discussed for over 30 years [1-4]. Most recent results on SD at low temperatures (T) combined with high T-data [4] suggest a deviation from a single Arrhenius-type T-dependence [2,3]. The whole T-dependence is explained assuming a T-dependent activation enthalpy/entropy for V. This approach has again stimulated the concept of extended point defects in Si [5] first introduced by Seeger and Chik [6]. To verify this concept we performed additional SD experiments at low T. Efficient gettering conditions and special surface capping of the Si samples were realized to minimize contamination during furnace annealing. The new SD data together with high T-data [4] reveals a T-dependence of SD that is accurately described over nine orders of magnitude by one single diffusion activation enthalpy of 4.72 eV. This provides new insight on the individual contributions of V and I to SD. [1] Ural et al., Phys. Rev. Lett. 83, 3454 (1999); Bracht et al. Phys. Rev. Lett. 85, 4835 (2000); Ural et al., Phys. Rev. Lett. 85, 4836 (2000). [2] Shimizu et al., Phys. Rev. Lett. 98, 095901 (2007). [3] Kube et al. Phys. Rev. B 88, 085206 (2013); Suezawa et al., Phys. Rev. B 90, 117201 (2014); Kube et al., Phys. Rev. B 90, 117202 (2014). [4] Bracht et al., Phys. Rev. Lett. 81, 393 (1998). [5] Cowern et al., Phys. Rev. Lett. 110, 155501 (1013). [6] Seeger et al., phys. stat. sol. 29, 455 (1968).

K.12.2
14:15
Authors : M. Radek, H. Bracht, M. Posselt, B. Liedke
Affiliations : Insitute of Materials Physics, University of Münster, Germany;Insitute of Materials Physics, University of Münster, Germany ;Helmholtz-Zentrum Dresden-Rossendorf, Germany; Helmholtz-Zentrum Dresden-Rossendorf, Germany;

Resume : Implantation of germanium (Ge), gallium (Ga), or arsenic (As) ions into crystalline and preamorphized isotopically enriched silicon (Si) multilayer structures at temperatures between 20°C and 500°C was performed to study the mechanisms contributing to atomic mixing. Secondary-ion-mass-spectrometry (SIMS) was applied to determine the concentration-depth profiles of the Si isotopes after ion implantation. In contrast to Ge multilayer structures [1] a radiation enhanced self-diffusion (RESD), as well as a dopant dependence of RESD is observed in Si. The contribution of cascade mixing (thermal spike mixing) to the overall atomic mixing is estimated by means of molecular dynamics simulations leaving the contribution due to RESD. Continuum theoretical calculations reveals that the magnitude of RESD can not be described by the diffusion of isolated native defects in supersaturation. Instead RESD is successfully modelled assuming highly mobile di-interstials that form during annealing of the implantation damage. [1] M. Radek et al.: Temperature dependence of ion-beam induced atomic mixing in germanium isotope structures, Appl. Phys. Lett. 115, 023506 (2015)

K.12.3
14:30
Authors : Kolevatov I., Svensson B.G. and Monakhov E.
Affiliations : Department of Physics, Center for Materials Science and Nanotechnology, University of Oslo, P.O.Box 1048 Blindern, N-0316 Oslo, Norway

Resume : Hydrogen is commonly present as an impurity in silicon wafers. In many cases, the incorporation of hydrogen occurs during chemical treatment of silicon wafers. In addition, the hydrogen atoms exhibit a significant mobility even at room temperature and an ability to interact with different defects, electrically passivating them or forming new electrically active complexes. In particular, it is well-known that irradiation-induced defects actively interplay with hydrogen [1]. One of the hydrogen-related states in proton-implanted silicon is a level with an energy position of 0.45 eV below the conduction band edge, Ec, and a capture cross-section 2E-17 cm^2, labelled as E5 in Ref. [1]. It is commonly observed in different wafers with a significant concentration, which indicates a fundamental nature of the defect. However, the nature of this state is still unclear. In the present study, we performed hydrogen-implantations in Schottky diodes formed on Czochralski and float-zone n-type silicon wafers with different dopant concentrations. The implantations were carried out with multiple energies to obtain a uniform box-like distribution of traps in the near-surface region. The samples underwent a comprehensive series of isochronal heat treatments to study the evolution of the defects using Deep Level Transient Spectroscopy. Similarly to the previous studies [1], we observe formation of the E5-level at Ec-0.45 eV. In addition, a new hydrogen-related trap, labelled E5*, is revealed with an energy of Ec-0.39 eV and a capture cross-section of 2E-17 cm^2. Moreover, a clear correlation between E5* and E5 is observed and the possible nature of these states will be discussed. [1] B. G. Svensson, et. al., Mater. Sci. Eng. B, vol. 4, no. 1–4, pp. 285–289, 1989.

K.12.4
14:45
Authors : J. Mullins, V.P. Markevich, M. Halsall, A.R. Peaker
Affiliations : Photon Science Institute, School of EEE, University of Manchester, UK

Resume : Vanadium is one of the slowest diffusers among the 3d transition metals in silicon and is almost impossible to remove by conventional gettering. Unfortunately it is present in upgraded metallurgical Si and cast ingots used for solar cell production. Previous studies have demonstrated that it has a detrimental effect on solar cell efficiency at very low concentrations. In this work we present a systematic study of the reactions between hydrogen and vanadium in single crystal silicon in order to evaluate the possible passivation of vanadium by hydrogen in solar cells. We have used DLTS, Laplace DLTS and carrier lifetime measurements as the principal tools to study n and p-type samples intentionally contaminated with low concentrations of vanadium. Hydrogen has been introduced from a remote plasma followed by isochronal and isothermal annealing. We find that in n-type material the vanadium reacts with hydrogen at room temperature to form an electrically active state 0.51 eV from the conduction band. This state is donor like and can act as an effective recombination centre in n-type material. The annealing kinetics are complex showing competition between formation and annihilation of the 0.51eV state in the range 75 to 160°C with complete disappearance above 175°C but with evidence of a passivated fraction of the vanadium population upon higher temperature annealing. In p-type material the coulombic repulsion of the positively charged hydrogen and vanadium atoms make pairing reaction insignificant. We conclude that hydrogen passivation of vanadium may be beneficial in n-type solar silicon with appropriate thermal processing.

K.12.5
15:00
Authors : A. Royal, P. F. Fazzini, M. Veillerot, F. Gonzatti, F. Mazen, A. Claverie
Affiliations : CEMES-CNRS, 29 rue Jeanne Marvig, 31055 Toulouse, France; LPCNO-INSA TOULOUSE, 135 avenue de Rangueil, 31077 Toulouse, France; CEA, LETI, MINATEC Campus, 17 rue des Martyrs, 38054 Grenoble, France; CEA, LETI, MINATEC Campus, 17 rue des Martyrs, 38054 Grenoble, France; CEA, LETI, MINATEC Campus, 17 rue des Martyrs, 38054 Grenoble, France; CEMES-CNRS, 29 rue Jeanne Marvig, 31055 Toulouse, France

Resume : Most advanced MOS and other devices are fabricated onto ultra-thin SOI wafers (typically 10 nm-thick top-Si onto 25 nm-thick SiO2) fabricated using the Smart CutTM technology. However, the fabrication of such wafers requires the controlled removal of hundreds of nanometers of silicon by etching after transfer, what is a challenging and costly task. The controlled precipitation of H at selected trapping sites, ideally located close to the wafer surface, would be an attractive alternative solution to the current technology. Previous work has shown that H can be preferentially trapped in highly doped silicon regions. For this reason, we have studied by SIMS and TEM the redistribution and trapping of implanted hydrogen in silicon structures containing thin layers of boron doped silicon during isothermal and isochronal annealing. Strong H trapping within the Si:B layer (with no release), leading to the formation of platelets and nano-cracks at the same depth, is observed in the range of temperature of interest, evidencing the large binding energy of B on these traps. We have developed a simple diffusion model able to reproduce the observed H thermal behavior. This model can be used to optimize the conditions needed to trap all the implanted hydrogen in a thin confined layer and to fracture a wafer at some desired depth.

K.12.6
15:15
Authors : Jonatan Slotte, Tuomas Kalliovaara, Ilja Makkonen, Filip Tuomisto, Ruggero Milazzo, Enrico Napolitani, Giuliana Impellizzeri
Affiliations : Department of Applied Physics, Aalto University, P.O Box 14100, Fi-00076 AALTO, Finland; Department of Applied Physics, Aalto University, P.O Box 14100, Fi-00076 AALTO, Finland; Department of Applied Physics, Aalto University, P.O Box 14100, Fi-00076 AALTO, Finland; Department of Applied Physics, Aalto University, P.O Box 14100, Fi-00076 AALTO, Finland; CNR-IMM MATIS and Dipartemento di Fisica Astronomia, Universitá di Padova, Via Marzolo 8, 35131 Padova, Italy; CNR-IMM MATIS and Dipartemento di Fisica Astronomia, Università di Padova, Via Marzolo 8, 35131 Padova, Italy; CNR-IMM MATIS and Dipartemento di Fisica e Astronomia, Università di Catania, Via S. Sofia 64, 95123 Catania, Italy

Resume : We have used Positron Annihilation Spectroscopy (PAS) in the Doppler Broadening mode together with Density Functional Theory (DFT) calculations to characterize open volume defects in highly n-type Ge, doped by As implantation and subsequent excimer laser annealing. The projected range of the 40 keV As ions was approximately 30 nm and the subsequent laser annealing produced a homogenous As distribution down to the melt depth of 100-150 nm. The PAS and DFT results indicate that As-decorated complexes dominate the positron trapping in the doped region. The concentration of these complexes are above the positron saturation trapping concentration, i.e. above 1018 cm-3, and hence these complexes could contribute to the passivation of the As dopants. However, also beyond the doped region, vacancy defects are found. This is somewhat surprising, since no vacancy defects could be observed in un-implanted laser annealed samples. Comparison between results from coincidence Doppler broadening measurements and DFT calculations show that the defects beyond the As doped layer contain less As compared to vacancy defects closer to the sample surface.

K.12.7
 
Nanostructures : Katherina Dohnalova and Salvo Mirabella
16:00
Authors : Harish Lakhotiya(1,2), Adnan Nazir(1,2), Søren P. Madsen(3), Joakim Vester-Petersen(3), Emil H. Eriksen(1,2), Sabrina R. Johannsen(2), Bjarke R. Jeppesen(1,2), Arne Nylandsted Larsen(1,2), Peter Balling(1,2), and Brian Julsgaard(1,2).
Affiliations : (1) Department of Physics and Astronomy, Aarhus University, Ny Munkegade 120, 8000 Aarhus C, Denmark, (2) Interdisciplinary Nanoscience Center (iNano), Aarhus University, Gustav Wieds Vej 14, 8000 Aarhus C, Denmark, (3) Department of Engineering, Aarhus University, Inge Lehmanns Gade 10, 8000 Aarhus C, Denmark.

Resume : In this work, we study the upconversion of 1500 nm light in Er3+ ions embedded in a TiO2 matrix. In a combined numerical and experimental investigation, we examine how gold nanoparticles, of a specific optimized size, can lead to a plasmonic field enhancement at 1500 nm and subsequently to enhanced upconversion emission at 980 nm. The gold nanostructures are produced by electron-beam lithography; they have an approximate truncated-cone shape, and we study the effect of varying the diameter and height. Comparing random and ordered nanoparticle arrangements enables an experimental separation of diffractive and plasmonic effects, which is complemented by numerical studies on nanoparticles with and without periodic boundary conditions. Applications for efficiency improvements in silicon solar cells are discussed.

K.13.1
16:30
Authors : Zhaoguo Xue,† Yaolong Zhao,† Mingkun Xu,† Xing Li,‡ Jimmy Wang,† Xianlong Wei,‡ Linwei Yu,*,†,§ Qing Chen,*,‡ Junzhuan Wang, † Jun Xu,† Yi Shi,† Kunji Chen,† and Pere Roca i Cabarrocas§
Affiliations : † National Laboratory of Solid State Microstructures/School of Electronics Science and Engineering/Collaborative Innovation Center of Advanced Microstructures, Nanjing University, 210093, Nanjing, P. R. China ‡ Key Laboratory for the Physics and Chemistry of Nanodevices, Peking University, 100871, Beijing, P. R. China § LPICM, CNRS, Ecole Polytechnique, Université Paris-Saclay, 91128 Palaiseau, France

Resume : Crystalline silicon (c-Si) or germanium (Ge) have been well-known rigid and brittle semiconductors that allow little stretchability. When produced in the form of quasi-1D Si or Ge nanowires (NWs), c-Si becomes readily bendable, but still it is not really stretchable as irreversible plastic deformation or breakage will happen under tensile strain above 3% (or even less for NWs with diameter >60 nm, where their Young’s modulus resumes back to the bulk value). Rendering extra stretchability to high crystal quality Si nanowires, for the sake of a high mobility and stability, is a fundamentally interesting and intriguing challenge. A simple and elegant strategy is to engineer the SiNW into an elastic shape of 2D spring or even 3D coil. Efforts to this end have been multiplying in recent years, while the potential of a nanoscale self-assembly growth has never been fully explored to manage a single-run fabrication of such crystalline and stretchable SiNWs. Meanwhile, twin planes have been recognized as a common cause of growth direction change during Si (as well III-V group) nanowire growth, which could provide a straightforward way to engineer the morphology of SiNWs. However, whether this twin dynamics can be triggered automatically and precisely (in a deterministic way) to achieve a regular 2D SiNW spring, without any external intervention, has not been explored so far. If successful, this capability will be both fundamentally important and practically useful. In this presentation, we will report on a novel cyclic crystallographic-index-lowering, self-turning and twinning dynamics, observed during tin-catalyzed in-plane growth of SiNWs, which result in a wavy morphology of SiNWs, without the need for any external parameter modification. Indeed, a unique twin-reflected interlaced crystal-domain structure has been identified for the first time. In-situ growth and real-time scanning electron microscopy observations reveal a new twin-triggering mechanism that has been the key to reset a complete zigzag growth cycle. Direct “stress-strain” testing of the SiNW springs has shown a large stretchability of 12% under tensile loading, indicating a whole new strategy and capability to engineer SiNWs for high performance stretchable electronics. Furthermore, this unique morphology engineering capability has been applied to tailor SiGe and Ge nanowires into stretchable channels, as an example to explore a broad spectrum of different semiconducting materials for high mobility flexible and stretchable electronics. References [1] L. Yu, P.-J. Alet, G. Picardi, and P. Roca i Cabarrocas, Phys. Rev. Lett. 102, 125501 (2009). [2] L. Yu, M. Xu, J. Xu, Z. Xue, Z. Fan, G. Picardi, F. Fortuna, J. Wang, j. xu, Y. Shi, K. Chen, and P. Roca i Cabarrocas, Nano Lett. (2014). [3] W. Chen, L. Yu, S. Misra, Z. Fan, P. Pareige, G. Patriarche, S. Bouchoule, and P. Roca i. Cabarrocas, Nat Commun 5, 4134 (2014).

K.13.2
16:45
Authors : A.V. Dvurechenskii 1,2), A.I.Yakimov 1,3), A.F.Zinovieva 1), A.V.Nenashev 1,2), V.A.Zinovyev 1), Zh.V.Smagina 1), N.P.Stepina 1), A.A.Bloshkin 1,2)
Affiliations : 1) Rzhanov Inst. Semic.Phys., Siberian Branch of RAS; 2) Novosibirsk State Univ.; 3) Tomsk State Univ. Russia

Resume : The work presents some advanced silicon based quantum dot (QD) heterostructures from fundamental issues of epitaxy to electronic and optical properties. The epitaxy should allow: a) to improve QD homogeneity in size with keeping form and elemental content; b) to control QD density and space ordering; c) to lower the defect density. Different experimental techniques such as the nanoimprint, electron-beam lithography, ion-beam induced pit-patterning of substrates and effect of vertical alignment of nanocrystal nucleation are used for the fabrication of templates with predefined dot nucleation sites. The conductivity, EPR, light absorption studies revealed mechanisms of charge carriers transport in tunneling coupled QD, wave function localization as in single dot and QD molecules, spin relaxation (coherence) time and optical transitions. Silicon based QD heterostructures were used to develop near-, mid- and far infrared photodetectors. High quality of silicon based heterostructures allows to reduce strongly the dark current of QD IR photodetectors and to get reasonable performances of devices in photovoltaic and photoconductivity modes. We study the effect of quantum dot size on the mid-infrared photo- and dark current, photoconductive gain, and hole capture probability in p-type Ge/Si quantum dot heterostructures. The decreasing the size of the dots, the dark current and hole capture probability was found to be reduced, while the photoconductive gain and photoresponse are enhanced. Suppression of the hole capture probability in small-sized quantum dots is attributed to a quenched electron-phonon scattering due to phonon bottleneck. The work was funded by Russian Scientific Foundation (grant 14-12-00931).

K.13.3
17:00
Authors : J. Dasović1, P. Dubček1, N. Radić1, V. Janicki1, S. Bernstorff2, B. Pivac1*
Affiliations : 1 Ruđer Bošković Institute, Bijenička 54, 10000 Zagreb, Croatia 2Elettra-Sincrotrone Trieste, SS 14, km 163.5, Basovizza (TS), Italy,

Resume : Germanium nanoparticles or quantum dots (QDs) embedded in a transparent dielectric matrix with properties different from the bulk semiconductor are interesting for applications in electronic and optoelectronic devices. Due to the quantum confinement effect the optical bandgap can be tuned by varying the QDs size. The question remains whether defects present in the matrix, interfaces or even in the particles affect their properties. Magnetron cosputtering was used for deposition, and upon suitable thermal treatment a superstructure of QDs was formed. The structural properties and selforganization was monitored by X-ray diffraction and Grazing Incidence Small Angle Scattering. The layers close to the dots, as revealed by Porod analysis from the GISAXS data, affected the optical properties monitored by time resolved photoluminescence. The defects within the matrix will be followed by FTIR, TRPL and EPR. Finally, the transport properties will be explored by I-V measurements in the dark and in light together with a C-V characterization. The obtained results will be modeled with the known transport mechanisms for QDs containing materials. A special emphasis will be given to the space charge limited current and hopping conductivity mechanism.

K.13.4
17:15
Authors : A. Lugstein, F. M. Brunbauer, S. Krall, C. Zeiner, E. Bertagnolli
Affiliations : Institute for Solid State Electronics, Technische Universität Wien, Floragasse 7, 1040 Vienna, Austria

Resume : We report on the exploration, of axial metal/semiconductor (Al/Ge) nanowire heterostructures with atomically sharp interfaces. The formation process is enabled by a thermal induced exchange reaction between vapor-liquid-solid grown Ge nanowire and Al contact pads due to the substantially different diffusion behavior of Ge in Al and vice versa. Within the nanowire heterostructure these crystalline Al segments exhibit a well-defined orientational relationship with respect to the 111 oriented Ge nanowire forming an abrupt junction with a Schottky barrier height of 361meV. The resistivity of the crystalline Al (c-Al) nanowires was determined to be approximately five times higher than for bulk Al, but they withstand remarkably high current densities of up to 1.78 × 1012 Am-2 before they ultimately melt due to Joule heating. The outstanding current-carrying capacity of the c-Al nanowires clearly exceeds those of common conductors and surpasses requirements for metallization of future high-performance devices. The linear temperature coefficient of the resistance of c-Al nanowires appeared to be lower than for bulk Al and a transition to a superconducting state in the c-Al nanowires was. The presented transition to a superconducting state in c-Al NWs at a critical temperature of 1.46 K may prove important for studying effects such as the superconducting proximity effect or Josephson currents in quasi-1D systems. To demonstrate the potential of this approach, electrostatically tunable negative differential resistance is demonstrated in such monolithic Al-Ge-Al nanowire heterostructures integrated in back-gated field-effect transistors. Unambiguous signatures of negative differential resistance even at room temperature are attributed to intervalley electron transfer. At yet higher electric fields, impact ionization leads to an exponential increase of the current in the ⟨111⟩ oriented Ge nanowire segments. Modulation of the transfer rates, manifested as a large tunability of the peak-to-valley ratio and the onset of impact ionization is achieved by the combined influences of electrostatic gating, geometric confinement, and heterojunction shape on hot electron transfer and by electron-electron scattering rates that can be altered by varying the charge carrier concentration in the nanowire field effect transistors.

K.13.5
17:30
Authors : Kun Huang, Wanglin Lu, Xuegong Yu, Chuanhong Jin, Deren Yang
Affiliations : Kun Huang, XuegongYu, Deren Yang: State Key Laboratory of Silicon Materials, School of Materials Science and Engineering, Zhejiang University. Wanglin Lu, Chuanhong Jin: State Key Laboratory of Silicon Materials, Key Laboratory of Advanced Materials and Applications for Batteries of Zhejiang Province, School of Materials Science and Engineering, Zhejiang University.

Resume : In recent years, development of silicon microelectronics has met its bottleneck. On-chip optical interconnects which are compatible to CMOS technology is urgently needed. Graphene quantum dots (GQDs) have been recognized to emit strong luminescence. Combination of silicon with GQDs could be utilized for the fabrication of efficient silicon-based light emitting diodes (LEDs). However, GQDs are traditionally synthesized by solution-based chemical methods, which are not compatible with the current silicon technology. Besides, the mechanism of GQDs luminescence is not clear yet from the viewpoints of science research, due to the chemical functional groups (CFGs) disturbing. Here, we have demonstrated that the GQDs with 1~3 atomic layers can directly be grown on the silicon wafer by chemical vapor deposition (CVD) method. The size and density of GQDs can be effectively tailored by controlling the growth conditions. The appearance of 2D peak in the Raman spectra indicates that our grown GQDs are single crystalline and highly pure, absence of CFGs. A sharp and strong luminescence at the wavelength of 450 nm is emitted from the GQDs on silicon, along with another two signals of phosphorescence. It is clarified that the peak position of luminescence for our GQDs almost keeps constant, which is not changeable with their sizes. This strongly suggests that the luminescence should be originated from the edge defects of GQDs, not associated with the effect of quantum size confinement. These results are interesting for the GQDs application in silicon-based light emitter diodes (LEDs).

K.13.6
17:45
Authors : E. Simoen, S. Jayachandran, A. Delabie, M. Caymax and M. Heyns
Affiliations : Imec, Kapeldreef 75, B-3001 Leuven, Belgium; Ghent University, Dept. Solid-State Sciences, Krijgslaan 281-S1, 9000 Gent; KU Leuven, Dept. Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven, Belgium; KU Leuven, Dept. Chemistry, Celestijnenlaan 200F, B-3001 Leuven, Belgium

Resume : The Si/SiO2 interface is by far the most studied, considering its huge technological importance. Especially the electrically active surface states have been intensively studied by different techniques, like charge-pumping or Deep-Level Transient Spectroscopy [1-4]. In the case of an unpassivated interface, the well-known dangling-bond or Pb centers give rise to two peaks in DLTS: a donor band in p-type silicon centered at 0.28 eV above the valence band and an acceptor band at a symmetrical position with respect to the bottom of the conduction band EC, i.e., at EC-0.3 eV [1-4]. In addition, quite often, a band of hole traps near the middle of the gap is associated with the p-Si/SiO2 interface [5-7]. These deep levels can at least partly be passivated by a Forming Gas Anneal (FGA) [7]. However, their origin is as yet unclear and deserves a further study. The aim of the present work is to shed some new light on this unidentified band of mid-gap states at the Si-SiO2 interface by performing a detailed DLTS analysis of p-type epitaxial silicon samples containing silicon-oxygen superlattice (SL) layers with different number of periods, deposited by chemical vapor deposition [8,9]. Such structures are of interest for possible high-mobility channel applications [10]. As will be shown, in the MOS capacitors with a SL layer, a broad band of states is found around the middle of the band gap, which behaves similar like the mid-gap Si/SiO2 states reported before [5-7]. The density-of-states increases roughly proportionally with the number of SL periods and reduces significantly after FGA at 400 or 500 oC. Occasionally, the Pb donor has also been observed in the DLT-spectra. Finally, the observed deep levels will be discussed in terms of possible Si-O bonding configurations. References [1] E.H. Poindexter, G.J. Gerardi, M.-E. Rueckel, P.J. Caplan, N.M. Johnson, and D.K. Biegelsen, J. Appl. Phys. 56, 2844 (1984). [2] D. Vuillaume and J.-C. Bourgoin, J. Appl. Phys. 58, 2077 (1985). [3] H.G. Grimmeiss, W.R. Buchwald, E.H. Poindexter, P.J. Caplan, M. Harmatz, G.J. Gerardi, D.J. Keeble, and N.M. Johnson, Phys. Rev. B 39, 5175 (1989). [4] D. Vuillaume, D. Goguenheim, and G. Vincent, Appl. Phys. Lett. 57, 1206 (1990). [5] R. Beyer, H. Burgardt, I. Thurzo, D.R.T. Zahn, and T. Geßner, Solid-St. Electron. 44, 1463 (2000). [6] E. Simoen, C. Gong, N.E. Posthuma, E. Van Kerschaver, J. Poortmans, and R. Mertens, J. Electrochem. Soc. 158,. H612 (2011). [7] E. Simoen, A. Rothschild, B. Vermang, J. Poortmans, and R. Mertens, Electrochem. and Solid-St. Lett. 14, H362 (2011). [8] A. Delabie, S. Jayachandran, M. Caymax, R. Loo, J. Maggen, G. Pourtois, B. Douhard, T. Conard, J. Meersschaut, H. Lenka, W. Vandervorst, and M. Heyns, ECS Solid State Lett. 2, P104 (2013). [9] S. Jayachandran, A. Delabie, A. Billen, H. Dekkers, B. Douhard, T. Conard, J. Meersschaut, M. Caymax, W. Vandervorst, and M. Heyns, Appl. Surf. Sci. 324, 251 (2015). [10] N. Xu, H. Takeuchi, N. Damrongplasit, R.J. Stephenson, X. Huang, N.W. Cody, M. Hytha, R.J. Mears, and T.-J. King Liu, IEEE Trans. Electron Devices 61, 3345 (2014).

K.13.7
18:00
Authors : Søren Roesgaard(1), Etienne Talbot(2), Jacques Chevallier(1), John L. Hansen(1,3), and Brian Julsgaard(1,3).
Affiliations : (1) Interdisciplinary Nanoscience Center (iNano), Aarhus University, Gustav Wieds Vej 14, 8000 Aarhus C, Denmark.; (2) Groupe de Physique des Matériaux, Université et INSA de Rouen, UMR CNRS 6634, av. de l’Université, 76800 Saint Etienne du Rouvray, France.; (3) Department of Physics and Astronomy, Aarhus University, Ny Munkegade 120, 8000 Aarhus C, Denmark.

Resume : Due to its direct bandgap, the alpha form of tin (Sn) is an interesting material for optical functionality in group-IV-based devices. We have previously observed light emission from epitaxially grown Si(1-x-y)Sn(x)C(y), where x = 1.6 % and y = 0.04 %, when the growth was followed by a heat treatment, leading to the formation of nanocrystals. Here we investigate further the size and composition of the nanocrystals by a combination of scanning transmission electron microscopy (STEM) and atom probe tomography (APT). Specifically, by using the results from APT, we demonstrate how to analyze the STEM data for a correct determination of the Sn composition in the nanocrystals and in the surrounding Sn-rich layer. The combination of STEM and APT thus provides an excellent tool for precise characterization of nanocrystal ensembles. The importance for understanding the optical properties of the nanocrystals will be discussed.

K.13.8
18:15
Authors : Hao Wu, Ning Du, Deren Yang*
Affiliations : State Key Lab of Silicon Materials, Zhejiang University

Resume : Silicon and its based materials have recently attracted considerable attention as a promising lithium-ion battery anode primarily due to its high theoretical gravimetric capacity and its relatively low discharge potential. However, large volume expansion of Si-based materials occurs during charging and discharging processes, resulting in structural failure and pulverization. Switching from their bulk materials as electrodes to nanostructured electrodes is an effective strategy to alleviate the problem. Herein, a rational design and a controllable route have been developed to synthesize Si-based materials with distinguishing morphologies. We employed SiO2 spheres to produce 3D macroporous Si and 0D hollow nanospheres via magnesiothermic reduction and acid etching process. By controlling the state of magnesium during the reaction, either 3D macroporous Si or 0D hollow nanospheres can be obtained. We used a thermal decomposition process of acetylene gas to coat a carbon layer on the surface of both 3D and 0D Si powder. The 3D porous Si@C electrode exhibited a relatively stable reversible capacity of 1058 mAh g-1 after 800 deep cycles, corresponding to 91% capacity retention. In comparison, the 0D hollow sample lost >50% of its initial capacity (1233 mAh g-1) upon extended cycling of almost 800 times. The coulombic efficiency (CE) of the 3D sample maintained above 99% after 20 cycles and the average value from the 2nd to 800th cycle is 99.4%. On the other hand, it took more than 100 cycles for 0D sample to achieve the coulombic efficiency as high as 99%. The longer cycle life and higher CE suggest that the interconnected porous network is more advantageous and avoids serious issues of Si-based anode deterioration, such as structure disintegration and unstable SEI formation. Therefore, different structure robustness of the 3D porous and 0D hollow electrodes results in the distinguishing cycling performance and CE of lithium-ion battery.

K.13.9
Start atSubject View AllNum.Add
 
Heteroepitaxy : Hartmut Bracht and Deren Yang
08:30
Authors : Fabio Isa 1, Marco Salvalaglio 2, Yadira Arroyo Rojas Dasilva 3, Mojmír Meduňa 4, Michael Barget 2, Arik Jung 1, Thomas Kreiliger 1, Anna Marzegalli 2, Marco Albani 2, Roberto Bergamaschini 2, Andrea Scaccabarozzi 2, Ivan Marozau 5, Giovanni Isella 6, Rolf Erni 3, Fabio Pezzoli 2, Emiliano Bonera 2, Philippe Niedermann 5, Kai Zweiacker 3, Antonia Neels 3, Alex Dommann 3, Pierangelo Gröning 3, Francesco Montalenti 2, Leo Miglio 2 and Hans von Känel 1
Affiliations : 1 Laboratory for Solid State Physics, ETH Zurich, Otto-Stern-Weg 1, CH-8093, Zurich, Switzerland; 2 L-NESS and Department of Materials Science, Università di Milano-Bicocca, Via Cozzi 55, I-20125, Milano, Italy; 3 EMPA, Swiss Federal Laboratories for Materials Science and Technology, Überlandstrasse 129, CH-8600, Dübendorf, Switzerland; 4 Department of Condensed Matter Physics, Masaryk University, Kotlářská 2, 61137, Brno, Czech Republic; 5 CSEM, Rue Jaquet-Droz 1, CH-2002, Neuchâtel, Switzerland; 6 L-NESS and Department of Physics, Politecnico di Milano, Via Anzani 42, I-22100, Como, Italy;

Resume : We present the epitaxial growth of dislocation-free, fully-relaxed SiGe micro-crystals on Si with a thickness far exceeding the conventional critical thickness for plastic strain relaxation. This new concept is based on the out-of-equilibrium growth of compositionally graded SiGe alloys on deeply patterned Si substrates. We achieve space-filling arrays of individual crystals several micrometers in width in which the strain relaxation mechanism is fundamentally changed from plastic to elastic [1]. Theoretical calculations indicate the results to be valid far beyond the experimentally tested range of grading rate, feature sizes and composition. This technique may be applied to realize vertical transport integrated devices such as solar cells and thick X-ray imaging detectors where the complete absence of dislocations near the SiGe/Si interface is expected to lower leakage currents and density of carrier trapping centers. Additionally we demonstrate that large and continuous patches of Ge/Si could be achieved in the form of suspended Ge membranes by merging individual and threading dislocation-free Ge/Si crystals by tailored annealing treatments. Theoretical calculations based on the phase-field method indicate that the surface atom diffusion leading to the coalescence of the crystals is driven by local variations of the surface curvature [2]. [1] F. Isa, et al., Adv. Mater. 2015, DOI 10.1002/adma.201504029. [2] M. Salvalaglio, et al., ACS Appl. Mater. Interfaces 2015, 7, 19219.

K.14.1
09:00
Authors : Nils von den Driesch1, Daniela Stange1, Denis Rainko1, Stephan Wirths1, Gregor Mussler1, Zoran Ikonic2, Jean-Michel Hartmann3, Siegfried Mantl1, Detlev Grützmacher1, Dan Buca1
Affiliations : 1: Peter Grünberg Institute (PGI 9) and JARA – Fundamentals of Future Information Technologies, Forschungszentrum Juelich, Germany; 2: Institute of Microwaves and Photonics, School of Electronic and Electrical Engineering, University of Leeds, United Kingdom; 3: CEA, LETI, MINATEC Campus and Univ. Grenoble Alpes, France

Resume : The increasing demand and complexity of consumer electronics require advanced solutions targeting energy efficiency. Uniting the successful Si CMOS technology with group IV based photonic systems may be a promising solution. Introduction of ternary, fundamentally indirect SiGeSn alloys and combination with direct bandgap GeSn binaries allows versatile applications, e.g. as cladding and/or barrier material in heterostructure light emitting diodes. In our contribution, we focus on epitaxial growth and comprehensive characterization of SiGeSn films with Si and Sn concentrations both up to 14 at.%. The alloys were grown using reduced-pressure chemical vapor deposition in an industry-compatible reactor. Various techniques such as Rutherford Backscattering Spectrometry (RBS), X-Ray diffraction (XRD) and transmission electron microscopy (TEM) were used to investigate structural properties of the ternaries and demonstrate high quality layers with thicknesses up to 600 nm. Bandgap engineering, by tuning of both stoichiometry and relaxing the incorporated strain, is demonstrated via optical techniques such as photoluminescence. Reflection measurements reveal bandgaps in the short-wave infrared range, down to 0.57 eV (2214 nm), supported by bandstructure calculations. Finally, we demonstrate feasibility of our approach by epitaxial growth and characterization of a complete SiGeSn/GeSn heterostructure and perform amongst other techniques secondary ion mass spectrometry (SIMS).

K.14.2
09:30
Authors : Anna Marzegalli (1), Andrea Cortinovis (1), Francesco Basso Basset (1), Emiliano Bonera (1), Fabio Pezzoli (1), Andrea Scaccabarozzi (1), Fabio Isa (2), Giovanni Isella (3), Peter Zaumseil (4), Giovanni Capellini (5), Thomas Schroeder (4,5), Leo Miglio (1)
Affiliations : (1) L-NESS and Dept. of Materials Science, Università degli Studi di Milano-Bicocca, via Cozzi 55, I-20125, Milano, Italy (2) Laboratory for Solid State Physics, ETH Zurich Schafmattstr. 16, CH-8093 Zürich, Switzerland (3) L-NESS and Department of Physics, Politecnico di Milano, via Anzani 42, I-22100, Como, Italy (4) IHP, Im Technologiepark 25, 15236 Frankfurt (Oder), Germany (5) Dept. of Science, Università Roma Tre, Viale Marconi 446, 00146 Rome, Italy

Resume : Our work, focused on the heteroepitaxial Ge/Si (001) system shows that patterned Si substrates in appropriate pillar arrays, featuring micrometric dimensions within the common deep-etching capabilities and array size suitable for several applications (up to few hundreds microns), do provide exceptional compliance to the thermal strain of a continuous Ge film deposited on top. Micro-Raman and XRD measurements on the Ge suspended layer, as obtained by Low Energy Plasma Enhanced Chemical Vapor Deposition, confirm the theoretical predictions. Actually, the sharp onset with pillar aspect ratio of such an effect is demonstrated to be triggered by the free rotation of the pillars, as induced by the thermal contraction of the film. The strain relaxation is deeply analyzed both by FEM simulations and experimental XRD results, showing a very good quantitative agreement. Our findings have far reaching consequences beyond the crystalline heteroepitaxial systems, whenever a film of any microstructure, or composition, is deposited in suspended patches on suitable pillar arrays.

K.14.4
09:45
Authors : D.V. Yurasov (1,2), A.V. Antonov (1,2), M.N. Drozdov (1,2), V.B. Schmagin1,2, K.E. Spirin1, A.V. Novikov (1,2)
Affiliations : (1) Institute for Physics of Microstructures, Russian Academy of Sciences, 603950, GSP–105, Nizhny Novgorod, Russia; (2) Lobachevsky State University of Nizhni Novgorod, 603950, 23 Prospekt Gagarina, Nizhny Novgorod, Russia

Resume : N-type doped SiGe films attract much attention because they are the key building blocks in advanced devices like light emitters at 1.55 mkm, teraherz sources and MODFETs. However donor segregation in Si and Ge matrices is rather pronounced and this significantly hampers the achievement of precise doping profiles during epitaxial growth. In this work Sb segregation in SiGe and pure Ge films grown by MBE was studied. Quantitative dependences of the Sb segregation ratio on temperature, Ge content and elastic strain were obtained experimentally and gathered results were modeled theoretically. In case of pure Ge nearly a 5-orders-of-magnitude increase in the Sb segregation ratio in a relatively small temperature range of 180-350°C was obtained, which allowed to form selectively Ge:Sb doped layers with high crystalline quality using the temperature switching method that was proposed earlier for Si-based structures [JAP 109, 113533 (2011)]. This technique was employed for fabrication of different kinds of n-type Ge structures which can be useful for practical applications like heavily doped n+-Ge films or delta-doped layers [JAP 118, 145701 (2015)]. Estimation of the doping profiles sharpness yielded the values of 2-5 nm per decade for the concentration gradient at the leading edge and FWHM=2-3 nm for the Ge:Sb delta-layers. Electrical characterization revealed nearly full electrical activation of Sb atoms and the two-dimensional nature of charge carrier transport in delta-layers.

K.14.5
 
Thin Films and Epitaxy : Eddy Simoen and Leo Miglio
10:30
Authors : M. Radek, H. Bracht, B. C. Johnson, J. C. McCallum, M. Posselt, B. Liedke
Affiliations : Insitute of Materials Physics, University of Münster, Germany; Insitute of Materials Physics, University of Münster, Germany; School of Physics, University of Melbourne, Parkville 3010, Australia; School of Physics, University of Melbourne, Parkville 3010, Australia; Helmholtz-Zentrum Dresden-Rossendorf, Germany; Helmholtz-Zentrum Dresden-Rossendorf, Germany;

Resume : We report experimental studies on atomic mixing of matrix atoms during solid-phase epitaxy (SPE). For this purpose isotopically enriched germanium (Ge) multilayer structures were amorphized by Ge ion implantation up to a depth of 1.5 um. Recrystallization of the amorphous structure was performed at temperatures between 350°C and 450°C. By means of secondary-ion-mass-spectrometry (SIMS) the concentration-depth profiles of the Ge isotope before and after the SPE process were determined. Analyses of the experimental depth profiles reveal an upper limit of 0.5 nm for the displacement length of the Ge matrix atoms induced by the SPE process. This small displacement length confirms theoretical models and atomistic simulations of SPE, indicating that the SPE mechanism consists of bond-switching with nearest-neighbours at the amorphous-crystalline (a/c) interface.

K.15.1
11:00
Authors : Juan Pablo Balbuena, Ignacio Martín-Bragado
Affiliations : IMDEA Materials Institute, Eric Kandel 2, 28906 Madrid (Spain)

Resume : MMonCa is a modular kinetic Monte Carlo simulation tool developed at IMDEA Materials for simulating defect evolution on materials (migration of point defects, clustering, etc) using the OKMC module, and amorphous phase evolution towards crystalline phase (SPER) in semiconductors using the LKMC module. Here a new feature to the LKMC module for reproducing epitaxial growth of group IV semiconductors as in UHV-CVD reactors is introduced. Results on growth rates and profiles of resulting grown structures on clean and masked silicon substrates of any orientation are presented in this work.

K.15.3
11:15
Authors : Thomas Grehl, Philipp Brüner, Nicolas Jourdan, Malcolm Hathaway, Hidde Brongersma
Affiliations : ION-TOF GmbH, Münster, Germany; ION-TOF GmbH, Münster, Germany; IMEC, Leuven, Belgium; Center for Nanoscale Systems at Harvard University, Cambride, MA, USA; ION-TOF GmbH, Münster, Germany;

Resume : The requirements from industry for ultra-thin film scaling is a challenge not only for the deposition, but also for the characterization of these films. While electrical measurements are often sufficient for quality control, a detailed analysis from a materials science perspective is essential for understanding and optimizing the growth process. Low Energy Ion Scattering (LEIS) is a technique that is capable of quantitatively determining the elemental composition of the outermost atomic layer of a sample. This information is extremely useful to study the early phases of film growth, well before a monolayer of material is deposited. For deposition techniques like atomic layer deposition (ALD), this is an important phase of the deposition, determining the roughness of the film as well as the minimum thickness for film closure. Using LEIS, it is often straight forward to validate whether a film is closed or not, by determining if any substrate signal can be observed. Since the information depth is only one atomic layer, any substrate signal indicates an incomplete film, provided that no diffusion of the substrate through the film occurs. In addition, the LEIS spectrum contains information about the first few nm of the film, which is used to gain information about the film thickness in a non-destructive way. In this contribution, we will give a number of examples for successful characterization of thin films for various semiconductor applications. This includes the determination of film closure, contamination analysis, and diffusion studies as well as growth studies.

K.15.4
11:30
Authors : Sebastiano Caccamo; Rosaria A. Puglisi*; Luisa D’Urso*; Valeria Indelicato; Markus Italia; Salvatore Pannitteri; Antonino La Magna
Affiliations : Consiglio Nazionale delle Ricerche, Istituto per la Microelettronica e Microsistemi (CNR-IMM), Strada Ottava 5, Zona Industriale, 95121 Catania, Italy. * Dipartimento di Scienze Chimiche, Università degli Studi di Catania, Viale Andrea Doria 6, Catania.

Resume : In the silicon industry the established doping method in semiconductor device fabrication is ion implantation and it has been the dominant doping technique since 1970s, when it was developed as a method of producing the p-n junction of photovoltaic devices. With the modern developments in the semiconductor device technologies this process however has shown its limits such as high costs of equipment and maintenance, crystal damage and necessity of multiple processing steps to achieve conformality, the last two manifested in applications requiring 3D nanostructured Si surfaces. Recently a new low cost technique for controlled nanoscale doping of semiconductors has gained attention [J. Ho et al., Nature Nanomater. 7 (2008) 62, R. A. Puglisi et al., Phys. Stat. Sol. A 212 (2015) 1685]. It is based on a self-limiting reaction from liquid solutions forming dopant precursor monolayers on the target surface, followed by the deposition of an oxide cap layer and an annealing to break the molecule and diffuse the dopant atoms into the Si. The technique permits to obtain n- or p- type doping by properly selecting the precursor [Garozzo et al., Mater. Sci. Eng. B 178 (2013) 686] and can be applied to nanostructured surfaces [R. A. Puglisi et al., Sol. En. Mat. Sol. Cells 132 (2015) 118]. We here present a study on the composition of the first layers of Si after the doping procedure to investigate the possible intermixing between the carbon atoms constituting the precursor molecule and the Si atoms of the substrate. The contribution of the oxide cap layer on these mechanisms is evaluated. The chemical properties of the samples after the doping procedure are obtained by X-ray spectroscopy [Caccamo et al., Mat. Sci. Semic. Proc. 42 (2016) 200]. The electrical characteristics of the doped material are studied by spreading resistance profiling. In order to understand the contribution of the surface doped layer on the electrical properties a new method based on four probe point (4PP) is developed. Repeated removal of thin layers of doped Si surface by using wet etch is proposed and 4PP performed before and after each etching step. The developed electrical characterization procedure allows to obtain sheet resistance profiles as a function of the depth with resolution as small as 1.5 nm.

K.15.5
11:45
Authors : F. Deprat, C. Fenouillet-Beranger, F. Nemouchi, Ph. Rodriguez, S. Joblot, N.Rambal, P. Batude, M. Vinet
Affiliations : CEA, LETI, MINATEC Campus, F-38054 Grenoble, France ; CEA, LETI, MINATEC Campus, F-38054 Grenoble, France ; CEA, LETI, MINATEC Campus, F-38054 Grenoble, France ; CEA, LETI, MINATEC Campus, F-38054 Grenoble, France ; STMicroelectronics, 850 rue Jean Monnet, 38926 Crolles, France ; CEA, LETI, MINATEC Campus, F-38054 Grenoble, France ; CEA, LETI, MINATEC Campus, F-38054 Grenoble, France

Resume : 3D sequential integration is a promising alternative to conventional scaling down approach: by stacking transistors level on top of each other, benefits on device density and performance are achieved. However, although the thermal processing of top transistors is currently restricted in order to avoid CMOS degradation of the bottom ones, it has been highlighted that NiPt 15 at% silicide source/drain (S&D) contact remains the most sensitive element to the thermal budget, especially on raised SiGe:B S&D. In this context, a complete and systematic study on salicide process has been proposed: alternative metallization as well as source and drain surface pre-treatments have been carry out. Indeed, a novel Co-based silicide, the NiCo 10 at% provides a better stability on unpatterned 300mm wafers. This stability has been further improved when combined with epitaxial silicon capping layer (Si-Cap) and Ge Pre-Amorphization Implant (PAI). A successful NiCo 10at% salicide implementation has been demonstrated on pMOS planar FDSOI transistor with both PAI and Si-Cap: A +7 % gain on the electrical performance has been reached with respect to NiPt 15at%. Moreover the presence of Si-Cap contributes to surface roughness improvement and limits Ge partition, which probably leads to lower the contact resistivity. Finally, fine process optimization has been studied: Ge PAI depth & dose adjustment, RTA fine tuning and related NiCo 10at% silicidation behavior.

K.15.6
12:00
Authors : -
Affiliations : -

Resume : -

K.15.7

Symposium organizers
Deren YANGZhejiang University

State Key Lab of Silicon Materials, Zheda Road 38, Hangzhou 310027, P. R. China

+86 571 87951667
mseyang@zju.edu.cn
Gudrun KISSINGER

IHP Im Technologiepark 25 15236 Frankfurt (Oder) Germany

+49 335 5625 388
gkissinger@ihp-microelectronics.com
Hiroshi YAMADA-KANETA

Kyushu Institute of Technology Sensui-cho 1-1, Tobata-ku, Kitakyushu 804-8055, Japan

+81 93 884 3244
kaneta.hiroshi@ele.kyutech.ac.jp
Leo MIGLIOUniversity of Milano Bicocca

Dept. of Materials Science Building U5, Via Cozzi 55, I-20125 Milano Italy

+39 02 6448 5217
leo.miglio@mater.unimib.it