preview all symposia

Semiconductors

L

Wide bandgap materials for electron devices

Wide bandgap semiconductors materials like GaN, SiC and Oxides have become serious alternatives for the replacement of silicon in power electronics and sensors devices especially in harsh environment. The aim of this symposium is to discuss the status, the remaining material issues and new routes in these fields.

Scope:

More than two decades ago, wide bandgap semiconductor materials emerged as potential candidates for high efficiency power and harsh environment devices. While SiC experienced a slow but sustained increase in substrate diameter, a good sign for cost reduction, the control of n and p doping as well as noticeable progresses in the reduction of surface and interface states led to the development of a widespread family of devices for high power applications. More, the heteroepitaxy of SiC on foreign substrates like silicon has deceived but may find opportunities in the field of sensors, especially ones operating in harsh environments where silicon fails. A first scope of the symposium will be to make a status of the present state of the art in terms of performance, material availability and economical viability of substrates, as well as homoepitaxial and heteroepitxial SiC films for power devices and sensors. On the other hand, the massive development of GaN based devices can presently be imagined from heteroepitaxial materials on large diameter foreign substrates like Sapphire, SiC and last but not least Silicon substrates eventually followed by layer transfer process. However, many questions remain concerning the epitaxy of GaN on foreign substrates. This is the reason why another scope of the symposium will be to address the issues related to the heteroepitaxy of GaN for electron devices. In particular, the control of the III-N / silicon interface, AlN on Si nucleation layers, the solutions for strain engineering, the role of structural defects on the electrical performance, thermal properties and reliability will be addressed in this symposium. More, beyond the progresses in GaN heteroepitaxy and in SiC substrate diameter increase, some benefit can be expected from the combination with other materials like diamond, graphene etc…Last, the symposium will address the status of Gallium and Zinc oxides as alternative wide bandgap semiconductors for electron devices.

Hot topics to be covered by the symposium:

  • SiC homoepitaxy on low-offcut substrates.
  • III-N on silicon : nucleation layer, interface control.
  • Thermal issues in GaN and oxide devices.
  • Integrating Graphene with Nitrides or SiC (direct growth, layer transfer).
  • Selective area growth for new device architectures.
  • Wide-bandgap materials for high performance power inverters
  • Wide-bandgap materials for MEMS and NEMS
  • p-type doping by implantation

Tentative list of invited speakers:

  • Andrei Vescan (RWTH Aachen University, Germany)
    GaN on silicon field effect transistors: from growth to electrical properties.
  • Martin Kuball (Univ. Bristol, UK)
    Thermal issues in GaN devices: challenges and solutions.
  • Rachel. L. Myers-Ward (NRL, Washington DC USA)
    Challenges of the SiC/Epitaxial Graphene Interface
  • Francesca Iacopi (Griffith University, Australia)
    Strain management in heteroepitaxial SiC.
  • Filippo Giannazzo (CNR, Italy)
    Advanced nanocharacterization of wide bandgap semiconductors and interfaces with two dimensional materials
  • Stephen Saddow (Univ. of South Florida, USA)
    Bio applications with 3C-SiC.
  • Sylvie Contreras (Univ. Montpellier II, France)
    Characterizations of p-type doped SiC
  • Julien Pernot (Néel Inst. Grenoble, France)
    Diamond electron devices
  • Masataka Higashiwaki (NICT Tokyo Japan)
    Gallium Oxide materials for electronics

    Additional invited talks will be selected from the submitted abstracts.

Tentative list of scientific committee members:

  • Daniel Alquier (Univ. Tours, France)
  • Enrique Calleja (ISOM, Madrid, Spain)
  • Didier Chaussende (CNRS, France)
  • Rebecca Cheung (SMC, Edinburgh, Scotland)
  • Danilo Crippa (LPE, Italy)
  • Yvon Cordier (CRHEA-CNRS, France)
  • Gabriel Ferro (Univ. Lyon, France)
  • Guy Feuillet (CEA, France)
  • Philippe Godignon (CNM, Barcelona, Spain)
  • Owen James Guy (Univ. of Swansea, UK)
  • Kestutis Jarasiunas (Vilnius University, Lituania)
  • Michael Krieger (Erlangen University, Germany)
  • Mike Leszczynski (Unipress, Poland)
  • Alfonso Patti (STMicroelectronics, Italy)
  • Fabrizio Roccaforte (CNR-IMM, Italy)
  • Joachim Würfl (FBH, Germany)
  • Rositza Yakimova (Linkoping University, Sweden)
  • Konstantinos Zekentes (FORTH, Greece)
  • Marcin Zielinski (NOVASiC, France)
  • Arnaud Yvon (STMicroelectronics, France)

Publication:

The proceedings of Symposium L will be published in Physica Status Solidi (Wiley).

 

Start atSubject View AllNum.Add
 
Diamond : Y.Cordier
14:30
Authors : J. Pernot1,2,3, T. T. Pham1,2,4, A. Maréchal1,2,4, G. Chicot1,4, N. Rouger1,4, D. Eon1,2, P. Muret1,2 E, Gheeraert1,2
Affiliations : 1 Université Grenoble Alpes, Institut NÉEL, F-38000 Grenoble, France 2 CNRS, Institut NÉEL, F-38042 Grenoble, France 3 Institut Universitaire de France, 103 boulevard Saint-Michel, F-75005 Paris, France 4 Univ. Grenoble Alpes, G2ELab, F-38000 Grenoble, France

Resume : The high breakdown electric field of diamond, its large carrier mobility and its exceptional thermal conductivity make it the ultimate semiconductor for high power and high frequency electronics. These features and the important progress that has been made recently in the fields of substrate fabrication, epilayer growth and doping control should in principle allow the development of new low loss electric switches. Different devices are under study at Institut Néel in order to demonstrate the potentialities of diamond for power electronics: i) Schottky diode and ii) metal oxide semiconductor field effect transistor. In this work, we will investigate the interface properties of the Al203 oxide deposited on O-terminated (100) p-type diamond. Using O-terminated diamond, a diamond MOS field effect transistor (MOSFET) is expected to be able to work in inversion regime with electrons or holes as minority carriers. However, some problems are still not solved and open questions must be answered before the fabrication of a diamond MOSFET: i) How the oxide/semiconductor bands are aligned at the interface? ii) Is there a Fermi level pinning at the interface? iii) What are the interface states densities and where are they energetically distributed within the band gap?, iv) Is the oxide sufficiently insulating to prevent current leakage? The different issues mentioned here will be discussed during the presentation.

L.I.1
15:00
Authors : Rajesh Ramaneti1,2, Peter Verhoven3, Alquin Stevens3, Giedrius Degutis1,2, Sien Drijkoningen1,2, Christine Koerner4, Xianjie Liu5, Paulius Pobedinskas1,2, Mats Falhman5, Marlies K. Van Bael 1,2, Joff Derluyn6, Ken Haenen1,2
Affiliations : 1, Institute for Materials Research (IMO), Hasselt University, Diepenbeek, Belgium; 2, IMOMEC, IMEC vzw, Diepenbeek, Belgium; 3, InnoPhysics BV, Eindhoven, Netherlands; 4, Anton Paar GmbH, Graz, Austria; 5, Department of Physics, Chemistry and Biology, Linköping University, Linköping, Sweden; 6, EpiGaN NV, Hasselt, Belgium;

Resume : Title : Selective growth of diamond and maskless patterning approaches on high K passivation dielectrics: A comparative study between Si3N4, Al2O3, and HfO2 Diamond´s excellent properties as a wide band gap material holds potential to a wide range of electronic applications from high power-high frequency devices (high thermal conductivity, large break down fields) to bio-sensing (biocompatibility) and quantum computation (NV centers). Diamond based devices can perform under high temperature, high pressure and high radiation exposed environments without compromising on reliability. Growth of diamond is normally accomplished by CVD with microwave excitation sources and depending on the processing conditions the morphology can range from nano-crystalline to micro-crystalline. The diamond community?s roadmap not only includes passive integration of thin diamond films into ?Beyond Moore? high power-high frequency electronics but also diamond electronics based on active diamond monolithic devices. Despite prior significant efforts and proof of concepts, from the point of processing and integration with CMOS based electronics, its extreme hardness and high roughness and relatively high temperature growth remains challenge. So there are ongoing efforts to promote selective area diamond growth and investigate maskless patterning approaches for interfacing both passive and active diamond based devices with CMOS based technologies. In this work, we show the possibility of promoting selective diamond growth and maskless patterning approaches on CMOS devices passivated by high k Si3N4, Al2O3, and HfO2 layers. High quality intrinsic diamond layers of varying thickness (100 -1500 nm) were grown on a low stress LPCVD (Si3N4), ALD Al2O3 and HfO2 passivated substrates/CMOS devices using MWCVD and Linear Antenna system (LA MWCVD) at temperatures below 700C. To ensure uniform seeding density and growth, the surfaces were subjected to plasma modification specific to the concerned dielectric and characterized using XPS, specular FTIR and streaming zeta potential measurement. Following which, we take to selectively modifying the surface potential of the surface using mask based and currently existing prototyping maskless techniques (microplasmas printing, laser writing) to achieve patterned diamond growth. A comparison of the resulting approaches is discussed. With gradual improvements in maskless patterning techniques approaches, one can anticipate their application to diamond based heat sinks, biosensors and quantum devices on CMOS platforms.

L.I.2
15:15
Authors : David Eon 1,2, Gauthier Chicot 3,4, Nicolas Rouger 3,4, Etienne Gheeraert 1,2, Julien Pernot 1,2
Affiliations : 1 Univ. Grenoble Alpes, Institut Néel, F-38000 Grenoble, France 2 CNRS, Institut Néel, F-38000 Grenoble, France 3 Univ. Grenoble Alpes, G2ELab, F-38000 Grenoble, France 4 CNRS, G2ELab, F-38000 Grenoble, France

Resume : Due to its outstanding physical properties such as wide band gap, high breakdown field, and high thermal conductivity, diamond is expected to be the ultimate semiconductor for high power and high temperature electronic devices. Diamond high power devices are now being intensively investigated. In particular, Schottky diodes based on a metal/diamond junction appear very promising. Zr metal deposited on oxygen terminated p-type boron doped diamond has been demonstrated to be a Schottky contact. This interface allows us to fabricate pseudo-vertical Schottky diode having large current density in forward regime (1000 A/cm2 at 6V) and high breakdown voltage in reverse regime (larger than 1000 V). Thus, at room temperature Zr/p-diamond, the "Power Figure Of Merit" Vmax2/(RonS), where Ron is the specific forward resistance and S the diode surface, was 244 MW/cm2. This value lies far above the limit for silicon (10 MW/cm2). It is today the largest value reported for diamond Schottky diodes and is not too far from the theoretical value (1000 MW/cm2). Beyond these results, an ionization integral approach is developed in order to estimate the theoretical maximum breakdown voltage. Thus, a fine trade off on the barrier height, doping level, contact size values must be found in order to obtain a low specific resistance in ON state and a low reverse current in OFF state, while keeping high breakdown voltage. These results are the first stones that pave the way to high power electronic.

L.I.3
 
III-N epitaxy : M.Leszczynski
16:00
Authors : Andrei Vescan, Holger Kalisch, Hady Yacoub
Affiliations : RWTH Aachen University, GaN Device Technology Sommerfeldstraße 24 52074 Aachen

Resume : Silicon as substrate material for group III nitride growth promises immense potential with respect to large-volume low-cost fabrication of electronic and optoelectronic devices ranging from low-frequency switches to RF power amplifiers and also light emitting diodes. From the economical point of view, this huge potential is based on the availability of large-area and cheap high-quality substrate sand on the existence of depreciated 6 and 8 inch fabrication facilities in which only little investment is required to establish a viable GaN device process. However, unlike other substrates like SiC or sapphire, the silicon substrate poses significantly higher challenges on the growth of GaN which go beyond lattice constant and thermal expansion coefficient mismatch and heavily impact the performance of electronic devices. Low-frequency high-power switches typically require robustness at high blocking voltages, not only in the epitaxial nitride film stack but also vertically through the silicon substrate. Consequently, it becomes necessary to control the distribution of electric fields and also charge injection in and transport through the (typicalyl) p-type substrate. RF power devices operating in the frequency range above 1GHz demand insulating substrates for high-efficiency operation. However, even using highly-resistive silicon wafers can lead to significant losses as high as 2dB or more due to the formation of parasitic conductive channels at the AlN/Si interface.

L.II.1
16:30
Authors : M. B. Charles,, A. Bavard, R. Bouis, Y. Baines
Affiliations : Univ. Grenoble Alpes, F-38000 Grenoble, France ; CEA, LETI, MINATEC Campus, F-38054 Grenoble, France

Resume : For power electronics, GaN on silicon technology is increasingly sought after, to improve device performance and to allow compatibility with standard CMOS lines. Using an automated MOCVD tool which includes a chlorine chamber clean after every growth, we studied the effect of different AlN nucleation strategies (NH3 first or TMAl first) on the quality of GaN layers grown. In particular, we have found that TMAl first gives poor layers, as has been previously suggested by MBE studies. After an NH3 pulse, a longer TMAl injection gives higher quality films. Using AFM, we have investigated the surface morphology of the silicon wafers at different stages of the nucleation process to better understand the mechanisms involved. As a second part of this study, we examined the density of hexagonal pit defects in the GaN layers as a consequences of different nucleation techniques. As these holes are known to be a ?killer defect? for high voltage devices, this is an important parameter. For a fixed temperature of 1000 °C for the AlN nucleation, we have found a strong correlation between the density of holes and the layer quality, with the density of holes increasing with improved quality. It is necessary to find a compromise between the crystalline quality of the films and the density of these holes. We have demonstrated thick GaN films with AlGaN buffer (3.6 µm total thickness) on silicon with extremely low hexagonal pit density and sufficient crystalline quality to ensure a wafer bow compatible with our CMOS cleanroom. In addition these layers have a vertical leakage current < 1 µA/mm² at 600 V, even for large test structures of 10 mm². These results are a very promising base for high current and high voltage power transistors on GaN on silicon wafers.

L.II.2
16:45
Authors : J. Camus1, S. Bensalem1, S. Sim1, E. Frayssinet2, Y. Cordier2 and M. A. Djouadi1
Affiliations : 1 Institut des Matériaux-Jean Rouxel, CNRS UMR6502, Université de Nantes, France 2 Centre de Recherche sur l?HétéroEpitaxie et ses Applications, CNRS UPR-10, France

Resume : Aluminum nitride AlN is a wide band gap semiconductor and shows unique intrinsic properties such as high thermal conductivity, high electrical resistivity and efficient piezoelectricity. Due to its properties, single-crystalline AlN is a promising semiconductor for applications in high power electronics, optoelectronics with UV light-emitting diodes and acoustic waves devices. AlN films have been deposited using a magnetron sputtering technique to obtain c-axis oriented films at low temperatures (< 250 °C). We have used a 8 inch aluminium target in a plasma obtained from high purity Ar/N2 gas mixture at pressure of 2 mTorr. Well-crystallized AlN thin films have been synthesized on silicon substrates at room temperature. Nevertheless, no epitaxial growth occurred even if AlN/Si abrupt interfaces were obtained with local alignment of AlN (002) on Si (111) planes. In order to overcome this problem, two AlN Epiready substrates were used. The first was AlN MBE thin layer (from 1 to 50 nm) and the second substrate was 25 nm AlN (obtained at high temperature)/sapphire. Structural and thermal investigations of such samples have shown an epitaxial growth of AlN PVD up to thickness of 600 nm for Si and 8 µm for sapphire. The thermal properties assessed by Hot Strip method confirm AlN bulk and interface quality. Thermal conductivity values up to 240 W/K.m were reached for film thicknesses ranging from 200 to 450 nm) owing the fact that thermal conductivity of AlN monocrystal is 320 W/K.m.

L.II.3
17:00
Authors : Stephanie Rennesson, Maud Nemoz and Fabrice Semond
Affiliations : CRHEA-CNRS

Resume : Since the past decades GaN-on-Si has attracted a lot of attention because of the Si substrate cost-effective, largely scalable and it paves the way towards integration applications. However, growing GaN-on-Si presents challenges in terms of nucleation, material quality, strain, and then complexity of growth schemes. A solution proposed in this paper is the use of an AlN nucleation layer grown by NH3-MBE. It has been demonstrated that NH3-MBE enables a very good control of the AlN/Si interface and then a reproducible nucleation process. As a consequence, an AlN 2D growth mode is achieved resulting in a quite low impurity concentration compared to MOCVD growth and a very smooth surface morphology on large scale. The AlN nucleation on silicon is discussed highlighting the influence of the silicon surface preparation and morphology. Growth of good quality AlN-on-Si by NH3-MBE is presented and influence of its quality on the 2DEG electron mobility is discussed.

L.II.4
 
III-N characterization : A.Vescan
17:15
Authors : H. Ben Ammar1, M.P. Chauvat1, A. Minj1, M. Morales1 P. Gamarra2, C. Lacam2, M.Tordjman2, M.A. di Forte-Poisson2, and P. Ruterana1
Affiliations : 1. Centre de Recherche sur les Ions, les Matériaux et la Photonique UMR 6252, CNRS ENSICAEN UCBN CEA, 6 Boulevard du Maréchal Juin, 14050 Caen Cedex, France 2. III-V Lab, Campus de Polytechnique 1, avenue Augustin Fresnel, F-91767 Palaiseau Cedex

Resume : The main advantages of the InAlN/GaN High Electron Mobility Transistors over other III-N based devices are its high refractive index contrast to GaN and the possibility of achieving strain free layers lattice matched to GaN. Therefore, the promising behaviors of InAlN layers in opto- and micro- electronics are studied closely by many groups. Nevertheless, the growth conditions of this alloy still remains to be mastered and further systematic investigations are needed in order to obtain high quality layers. During the growth, intrinsic degradations have been reported consisting of pinholes and hillocks which lead to high roughening surface morphology and even to poly-crystallinity. The degradation mechanisms which have been proposed in the literature are a) the opening of threading dislocations from the GaN into pinholes towards the top of the AlInN layer, and b) the coalescence of hillocks which transforms into defective areas. In the following, we report different behaviors even for lattice matched layers around 18% indium content. We have investigated samples grown by metal organic vapor phase epitaxy, with different state of strain and thicknesses using various growth parameters. From Scanning Transmission Electron Microscopy (STEM), and energy dispersive X-ray spectrometry (EDX), we propose a comprehensive mechanism for the degradation of crystalline quality of the AlInN layers in correlation with the growth parameters towards a better understanding for the indium incorporation.

L.III.1
17:45
Authors : Kestutis Jarasiunas
Affiliations : Department of Semiconductor Physics, Vilnius University, Vilnius, 10222 Lithuania

Resume : Laser-assisted nonlinear optical techniques, based on a strong correlation between electrical and optical processes, open possibility to analyze electrical processes in all-optical way (i.e. without electrical contacts) and determine the key electrical parameters (lifetime, mobility, diffusion length). Therefore, we applied several picosecond optical pump-probe techniques for control of the total injected carrier density, its decay, and spatial redistribution in free-standing GaN, GaN heterostructures grown by MOCVD on different substrates (Si, SiC, sapphire), in differently grown cubic SiC heterostructures (on 6H-SiC, undulant Si), and 4H-SiC substratres. Light diffraction decay on a transient grating enabled determination of diffusion coefficient and carrier lifetime simultaneously, while dynamics of free-carrier absorption provided fast and slow recombination transients. Combining single and two-photon excitation, the dislocation-governed nonradiative carrier lifetimes up to few ns were analyzed in various GaN heterostructures, while diffusion-limited carrier transfer to grain boundaries at dislocations in bulk GaN resulted in 40ns lifetimes at 300K. Comparison of ambipolar and hole diffusion coefficients in c- and m-plane GaN revealed the stronger impact of residual defects in m-plane GaN as well anisotropy of free carrier absorption. These data were useful to identify the diffusive origin of fast photoluminescence transients in GaN epilayers under surface excitation conditions. The optical control of carrier lifetimes SiC and GaN structures can serve as a simple tool for search of their optimized growth conditions, as well for determination of electrical parameters at high excess carrier densities, relevant to a power device operation.

L.III.3
18:00
Authors : Zijuan Xie, John Buckeridge, Alexey Sokol, Richard Catlow, Yu Sui
Affiliations : Harbin Institute of Technology; University College London; University College London; University College London; Harbin Institute of Technology

Resume : GaN, which has gained much attention for applications in solid-state lighting and high power microelectronics, needs both n- and p-type conductivity for devices, e.g. blue LEDs. However, neither the mechanism of the native n- type nor the p-type conductivity is well understood. Whether the GaN native n-type conductivity originates from nitrogen vacancies or impurities, why the p-type conductivity succeeds only with magnesium doping and has such a low doping efficiency, which impurity or native defect state in GaN leads to which photoluminescence line, e.g. yellow luminescence, have been the subject of intense debate for the last fifty years. To cast some light on these issues, we have performed hybrid quantum mechanical/molecular mechanical (QM/MM) embedded cluster calculations to study the optical and electronic properties of defects and defect complexes in GaN. We have showed that the nitrogen vacancy is the source of native n-type conductivity and acts as compensating centres in p-type carriers [1]. We have studied a comprehensive range of magnesium-related defects and complexes and analysed their contribution to p-type conductivity and the associated spectroscopic features. We have also addressed the role of carbon in inducing yellow luminescence that is widely observed in GaN. [1] J. Buckeridge et al. PRL 114, 016405 (2015)

L.III.4
Start atSubject View AllNum.Add
 
Silicon Carbide : K.Zekentes
08:30
Authors : S. Contreras1, L. Konczewicz1, R. Arvinte2, H. Peyre1, T. Chassagne2, M. Zielinski2, S. Juillaguet1
Affiliations : 1 Laboratoire Charles Coulomb, CNRS - Université de Montpellier; 2 NOVASiC; Savoie Technolac; 73375 Le Bourget du Lac cedex; France

Resume : Among the various SiC polytypes, 4H-SiC is a key material for high-power, high-temperature and high-speed electronic devices. The development of such devices requires reproducible control of n type and p-type doping during the epitaxial growth. As far as the electrical transport properties of n type 4H-SiC are now well understood [1], the hole transport in p-type material, particularly in heavy doped one, still remains under discussion [2-4]. Due to a complicated band structure and a lack of knowledge of the Hall factor (rH), the hole transport analysis is still object of controversy. The knowledge of rH is essential to calculated the drift mobility and the free hole density from Hall data. In this contribution, we discuss the temperature variation of Hall hole density and Hall mobility of Al doped p-type 4H-SiC with different doping levels. Aluminum atoms are the usual species to get p type conductivity in SiC because of its shallow ionization energy (Ea≈200 meV). Firstly, we give an overview of the different existing results in the literature, related to electrical properties (hole concentration and mobility) and their dependences on temperature and doping concentration. Secondly, we consider several different Al doped 4H-SiC layers grown using chemical vapor deposition (CVD) on n-type and semi insulating 4H-SiC substrates. We present the results of Hall effect and resistivity measurements as a function of temperature up to 800 K and we discuss the high temperature limit imposed by the substrate. Some electrical parameters of the material, i.e. acceptor density and compensation ratio in the epitaxial layers, have been experimentally assessed by capacitance-voltage (CV) and Secondary Ion Mass Spectroscopy (SIMS) investigations. This approach allows us to reduce the number of free parameters in the fits of transport data vs temperature developed in the frame of multicarrier conduction model. In the case of p-type semiconductors, the analysis of electrical transport experiments is particularly complicated. In fact, the relaxation time approximation, widely used to easy solve the Boltzmann transport equation, reached its limits. It is particularly true in the case of SiC which presents a complex anisotropic and warped valence band. These difficulties increase in the case of heavily doped material under high temperature conditions. Usually this difficulty is overcome by corrections of the experimental data by an empirical temperature dependence of the combined Hall scattering factor rexp [5]. We discuss this procedure which seems to be efficient for doping levels up to few 1018 cm-3. [1] J. Pernot, W. Zawadzki, S. Contreras, J. L. Robert, E. Neyret and L. Di Cioccio, J. Appl. Phys. 44,1869 (2001). [2] J. Pernot, S. Contreras and J. Camassel, J. Appl. Phys. 98, 023706 (2005) [3] A. Koizumi, J. Suda and T. Kimoto, J. Appl. Phys. 106, 013716 (2009) [4] A. Parisini and R. Nipoti, J. Appl. Phys. 114, 243703 (2013) [5] G.Pensl, F.Schmid, F.Ciobanu, M.Laube, S.A.Reshanov, N.Schulze, K.Semmelroth, H. Nagasawa, A.Schöner and G. Wagner, Mater. Science Forum, 433-436, 365 (2003)

L.IV.1
09:00
Authors : S. Sejil1,2, M. Lazar2, D. Carole1, C. Brylinski1, F. Jomard3, D. Planson2, G. Ferro1, C. Raynaud2 E-mail: selsabil.sejil@etu.univ-lyon1.fr
Affiliations : 1) Laboratoire des Multimatériaux et Interfaces, Université de Lyon 1, CNRS, 69622 Villeurbanne, France 2) Laboratoire AMPERE, INSA Lyon, Ecole Centrale de Lyon, CNRS, 69621 Villeurbanne, France 3) Laboratoire GEMAC, Université Versailles-Saint-Quentin-en-Yvelines, CNRS, 78035 Versailles, France

Resume : Vapor-Liquid-Solid (VLS) selective epitaxy has been investigated as an alternative method for the localized p-type doping of 4H-SiC [1] to overcome ion implantation drawbacks [2]. A first previous result was the reduction of the resistivity of ohmic contacts on p-type 4H-SiC, with specific resistance value as low as 1.3×10-6 ?.cm2 [3]. PN junctions with 50 nm VLS P+ deposit were demonstrated, exhibiting the expected threshold Vth ? 3 V in direct bias, without any post-growth annealing [4]. In this work, further optimization of the VLS process has allowed us to fill in 1µm-deep wells, previously etched into N-type 4H-SiC epilayer, with up to 700 nm P+ deposited SiC. Parameters such as temperature (~1100°C), duration, carbon precursor flow (propane) and nature of the carrier gas (H2 or Ar) have been optimized to achieve this thicker VLS epitaxy with regular step-bunching surface morphology. Additionally, we have investigated correlations between the measured current-voltage characteristics of P/N junctions and the results of physical and chemical characterization performed on the P-type 4H-SiC deposited by VLS epitaxy: doping level, thickness, surface morphology. [1] D. Carole et al., Mater. Sci. Forum. 740-742 177 (2013) [2] M. Lazar et. Al., Materials Science Forum, Vol. 389-393, p 827 (2002) [3] N. Thierry-Jebali et al., Mater. Sci. Forum. 778-780 639 (2014) [4] S. Sejil et al., ICSCRM 2015

L.IV.2
09:30
Authors : IP Hayward(1), T Batten(1), O Milikofu(2)
Affiliations : (1) Renishaw plc, Wotton-under-Edge, GL12 8JR, United Kingdom, (2) Renishaw KK, Tokyo, 160-0004, Japan

Resume : There has been a continual improvement in SiC material quality over the last decade. This has enabled the manufacture of devices with yields suitable for early mass production. However, more work is required to scale up production, improve yields, and reduce device costs. Gaining a better understanding of defect formation and prevention is key, coupled with the development of improved quality control methods. Raman spectroscopy is a non-destructive technique that provides sub-micrometre-resolution information on the composition, physical structure, and electronic structure of materials. It is a well-established tool for analysing SiC, but has generally been slow. However, recent advances have reduced acquisition times significantly. This speed increase is especially relevant to Raman mapping, a valuable tool for characterising the stress and free carrier concentration distribution associated with defects in SiC. Raman spectroscopy has the advantage that it can also produce 3D maps looking into the material, so that defects originating in the substrate can be discriminated from those just in the epilayer. This gives valuable insights into the origin of defects and can help guide work to eradicate or control them. We show 2D and 3D Raman maps of these defects in research samples and whole commercial wafers. These maps can now be acquired in minutes or hours, depending on the resolution, despite the very large number of spectra involved (sometimes millions). This greatly extends the potential of Raman spectroscopy in SiC R&D. A detailed 2D Raman map of a SiC wafer, for example, can be completed in an hour, rather than many days. Raman spectroscopy therefore becomes an option for industrial quality control.

L.IV.4
 
III-N epitaxy : M.Higashiwaki
10:30
Authors : Joseph J. Freedsman, and Takashi Egawa
Affiliations : Research Center for Nano Devices and Advanced Materials, Nagoya Institute of Technology, Nagoya 466-8555, Japan

Resume : Gallium Nitride on Silicon (GaN-on-Si) is a prospective material for next generation high-power and high-speed switching application, since they possess high saturation velocity as well as theoretical breakdown field of ~3.3 MV/cm. Owing to its low-cost, large-size scalability, and compatibility of integration with Si electronics, GaN-on-Si has added advantages over GaN grown on other substrates typically SiC or sapphire. Despite these merits, it also suffers from intrinsic growth related issues due to large lattice and thermal mis-matches for GaN-on-Si [1]. As a result, wafer bowing, cracks, high density of dislocations and pits might arise. This in turn will lead to detrimental large leakage (vertical and lateral) currents and cause premature breakdown of the devices. For large scale production and commercialization of GaN-on-Si power devices the aforementioned technical issues must be addressed. This requires improved epitaxial design and growth. Over a decade, several techniques were attempted mainly to improvise the breakdown voltage by reducing the leakage current. These include increased epitaxial layer thickness, increased inter-electrode distance [2], graded AlGaN buffer layers [3], C or Fe doped GaN buffers [4-6] and Si substrate removal [7]. However, for the growth of GaN-on-Si, AlN nucleation layer (NL) is widely deposited on Si in order to prevent the melt back etching of Ga into Si as well as to grow thick buffer layers [8]. On contrast, the AlN/Si interface is prone to severe amount of defects which can cause further parasitic conduction and strongly affect the vertical leakage current [9]. Therefore, it is imperative to investigate the vertical leakage current due to AlN NL, subsequent epitaxial stack in order to identify the root cause for vertical breakdown. The effects of growth temperature on structural properties of AlN NL/Si, and its effect on subsequent epitaxial layers and vertical breakdown voltage (BV) for GaN-on-Si are presented in detail. All the epitaxial layers discussed in this work were grown on 4-inch p-type Si (111) substrate by using a Taiyo Nippon Sanso (SR 4000) metal-organic chemical vapor deposition (MOCVD) system. A 100 nm AlN NL were grown at three different temperatures 950, 1030 and 1130?C, respectively to evaluate the morphological and leakage characteristics. Next, a 40 nm AlGaN intermediate later (IL) and multi pairs of strained layer super lattice structures (AlxGa1-xN/GaN SLS) were subsequently grown. Epitaxial layers with total thicknesses of 1.1, 2.2 and 4.4 um were grown by increasing the SLS multi-pairs. All the epitaxial structures were subjected to vertical leakage measurements after patterning of circular shaped diodes of 200-um-diameter. The AlGaN IL/AlN NL were analyzed by using atomic force microscopy (AFM), cross-sectional field-emission scanning electron micrograph (FE-SEM) and X-ray photoelectron spectroscopy (XPS) measurements. From the AFM measurements, the root mean square (RMS) surface roughness of AlGaN IL grown on top of AlN NL (1030?C) showed the lowest value of 1.7 nm. The FE-SEM image also confirms smooth interface between AlGaN IL/AlN NL for this structure. The O 1s peak intensity of AlN NL grown at 1030?C was relatively small suggesting lower concentration of unintentional oxygen impurities compared to the NL grown at other two temperatures. These results suggest that AlN NL grown at a moderate temperature of 1030?C has better surface morphology and smooth interface with the AlGaN IL [10]. The vertical BV of diodes fabricated with AlN NLs grown at three different temperatures were 16, 35, and 14 V respectively. Although, the AlN NL is considered to have insulating property due to its wide band gap of 6.2 eV, the BVs are limited due to the excess amount of defects. It is worthy to note the AlN NL grown at 1030?C showed relatively higher BV value. The BV values of AlGaN IL grown on top of all three NLs were 132, 160 and 67 V, respectively. Even though the BVs of AlN NLs are quiet small, the deposition of 40 nm AlGaN further increases the vertical BVs and the values reflects the quality of underlying AlN NL. Furthermore, the effect was confirmed by growing 2.2 um thick epitaxial layer using SLS multi pairs on top of AlGaN IL/ AlN NLs and measuring the vertical BVs. The BVs were 415, 680 and 251 V respectively. From these results, it can be ascertained that the growth temperature of AlN NL deposited on Si substrate influences the quality of epitaxial layer stack on top and their respective BVs. From this study, a moderate growth temperature of 1030?C is favorable to grow AlN NL with better surface property and smother interface with the immediate epitaxial layer. Further, growing thick epitaxial layer of total thickness 4.4 um by using SLS multi pairs on top of AlGaN IL/ AlN NL enhances the breakdown voltage to 1.3 kV. The AlN NL with the better surface morphology provides the template, while increasing the SLS enhances the BV. Uniformity in electrical characteristics for AlGaN/GaN HEMT structures on 8-inch Si was successfully achieved by using such SLS [11]. Normally-Off type devices demonstrated using similar heterostructure also showed electrical characteristics with a drain current of 300 mA/mm and threshold voltage of + 2.4 V [12]. High quality GaN-on-Si grown by using SLS on AlN NL with better surface properties shows promising features for commercialization of future high power device applications. Acknowledgements: This study was partially supported by the Super cluster program of the Japan Science and Technology Agency (JST). The authors would like to thank A. Watanabe, Y. Yamaoka and T. Kubo for their fruitful discussion. References: [1] T. Egawa, Proc. IEDM, pp.613-616 (2012). [2] S. Iwakami, et al., Jpn. J. Appl. Phys. 46, L587 (2007). [3] D. Visalli, et al., Jpn. J. Appl. Phys. 48, 04C101 (2009). [4] S. Kato, et al., J. Cryst. Growth, 298, 831 (2007). [5] J. Selvaraj, et al., Jpn. J. Appl. Phys. 48, 121002 (2009). [6] Y. C. Choi, et al., IEEE Trans. Electron Devices, 53, 2926 (2006). [7] D. Visalli, et al., Appl. Phys. Lett. 97, 113501 (2010). [8] H. Ishikawa, et al., Phys. Status. Solidi A, 176, 599 (2015) [9] Y. Oda et al., Proceedings of EuMA, pp.92-95 (2007) [10] J.J. Freedsman et al., Phys. Status. Solidi A (2015) DOI: 10.1002/pssa201532601 [11] D. Christy et al., Appl. Phys. Express, 6, 026501 (2013) [12] J.J. Freedsman et al., Appl. Phys. Express, 7 041003 (2014)

L.V.1
11:00
Authors : P. Sana1, M. H. Zoellner1, H. Tetzner1, L. Lupina1, J. Dabrowski1, I. Costina1, P. Storck2 and T. Schroeder1
Affiliations : 1IHP Microelectronics, Technology Park Frankfurt (Oder) Germany -15236 2Siltronic AG, Hans Seidel Platz 4, Munich Germany - 81737

Resume : GaN has unique capabilities to provide superior performance in various devices with high radiation tolerance. However, the difficulty arise from integrating GaN on Si, i.e. lattice mismatch (-17 %), difference in the coefficient of thermal expansion (35%), and interdiffusion. A further key issue to generate high-quality Ga polar GaN, which shows reduced number of integrated impurities and vacancies for better optical, structural and electronic properties. To obtain Ga-polar GaN with almost zero lattice mismatch on Si, ScN buffer layer are investigated at IHP. Method consists of a stepwise adjustment of lattice mismatch between GaN and Si via MBE grown ScN/Sc2O3/Y2O3/Si(111). Extensive studies on the growth mechanism of ScN on Sc2O3 at atomic level are performed, show oxygen diffusion into the ScN film and transportation of nitrogen in the Sc2O3 layer simultaneously. Moreover, Y2O3 and Sc2O3 oxide-based bi-layer approach on Si show high thermal stability during growth conditions of GaN and avoid intermixing of GaN with Si. Preliminary results indicate the favored Ga-polarity and further studies will be conducted to achieve efficient MSM UV photodetector.

L.V.2
11:15
Authors : ILKAY DEMIR (1,2), YOANN ROBIN (1), RYAN McCLINTOCK (1), SEZAI ELAGOZ (2), KONSTANTINOS ZEKENTES (1,3), MANIJEH RAZEGHI (1*)
Affiliations : 1) Center for Quantum Devices, Department of Electrical Engineering and Computer Science, Northwestern University, Evanston, Illinois 60208-0893 2) Department of Nanotechnology Engineering, Cumhuriyet University, Sivas, Turkey 58140 3) Foundation for Research & Technology-Hellas (FORTH), Heraklion, Greece

Resume : AlN layers have been grown on 200-nm period stripe-patterned Si substrates by cantilever epitaxy for the first time and were compared with AlN layers grown by mask-less lateral epitaxial overgrowth (LEO) on micropatterned Si substrates. The material quality of 5-10 ?m thick AlN grown by LEO is comparable to that of much thinner layers (2?m) grown by cantilever epitaxy on the nanopatterned substrates. The latter exhibited root mean square roughness of 0.65 nm and X-ray-diffraction-rocking-curves-full-width-at-half-maximum of 710 arcsec and 930 arcsec along the and reflections respectively. The corresponding room temperature photoluminescence spectra were dominated by a sharp band-edge peak. Back-emission UV LEDs were fabricated by flip-chip bonding to patterned AlN heat sinks following complete Si(111) substrate removal demonstrating a peak pulsed power of ~0.7 mW at 344nm peak emission wavelength. The UV LEDs were fabricated on a cost-effective epitaxial structure grown on the Si substrate with a total thickness of only 3.3?m.

L.V.3
11:30
Authors : G. Gommé1,2, E. Frayssinet2, F. Semond2 and Y. Cordier2
Affiliations : 1 Université François Rabelais de Tours, GREMAN, 16 rue Pierre et Marie Curie, 37071, Tours, France 2 CRHEA-CNRS, rue Bernard Grégory, 06560, Valbonne, France

Resume : This study aims to simplify the heteroepitaxy of GaN on Si while keeping state of the art III-nitride materials. The originality of this work is to combine the advantages of both NH3-MBE and MOCVD growth techniques. Firstly, structural quality of AlN is assessed by atomic force microscopy (AFM), X-ray diffraction (XRD) measurements and transmission electron microscopy (TEM). Terraces and atomic step edges are observed by AFM showing a good structural quality of the AlN epilayer. TEM investigation reveals a sharp and well controlled AlN/Si interface thanks to the well understanding of the growth mechanism by NH3-MBE. Then, 2 µm thick GaN layers are grown by MOCVD on top of these AlN templates with the use of a SiN treatment allowing to obtain 3D to 2D growth mode. GaN structural properties, measured by XRD, AFM and SEM, are discussed and compared to GaN template on sapphire (Al2O3). In addition the stress in the GaN layers grown on these AlN templates with or without a SiN treatment is assessed. GaN epilayers with a dislocation density in the range of few 108 dislocations/cm2 have been achieved.

L.V.4
12:00
Authors : Elena Alexandra Serban1, Marcus Rommel2, Justinas Palisaitis1, Lars Hultman1, Per Persson1, Jens Birch1, Ching-Lien Hsiao1
Affiliations : 1Department of Physics, Chemistry, and Biology (IFM), Linköping University, SE-581 83 Linköping, Sweden 2 Nanofabrication Laboratory, Chalmers University of Technology, SE-412 96 Gothenburg, Sweden

Resume : GaN nanorods were grown by DC magnetron sputter epitaxy (MSE) [1, 2] on Si (001) substrates patterned by nano-sphere lithography (using polystyrene nano-beads). High-resolution transmission electron microscopy (TEM) and x-ray diffraction show single-crystalline wurtzite structures with growth direction along c-axis. The growth mechanism is studied by tracing the initial growth and evolution of nanorods. Initially, multiple nuclei are formed inside the holes. Afterward, the nuclei grow and start to coalesce, forming faceted hexagonal nanorods. TEM revealed a high dislocation density at the interface, but most of the dislocations bend to the side-walls as nanorods extend in length to more than 150 nm. Room-temperature cathodoluminiscence showed a strong band-edge emission at 365 nm. The broad yellow band emission centered at 565 nm is determined by the dislocations formed during growth due to nuclei’s semicoherent coalescence. The achieved photon extraction efficiency and reduction of dislocations benefits fabricating high-performance devices and our MSE selective-area growth of GaN nanorods on Si wafers paves the way to engage into industrial platform for mass production. Acknowledgements: The authors would like to thank the Swedish Research Council (VR) under grant No.621-2012-4420 and MyFab-Micro and Nanofabrication infrastructure. References: 1. M. Junaid et al., Mat. Sci. Semicon. Proc. 10 (2015), 702-710. 2. E. A. Serban et al., Nanotechnology 26 (2015), 215602.

L.V.6
 
III-N characterization : M.Kuball
14:15
Authors : Luke Yates, Chien-Fong Lo, Georges Pavlidis, Samuel Graham
Affiliations : George W. Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, 30332, USA; IQE, 200 John Hancock Rd., Taunton, MA, 02780, USA; George W. Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, 30332, USA; George W. Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, 30332, USA

Resume : Development of gallium nitride (GaN) high electron mobility transistors (HEMTs) on silicon (Si) substrates is critical to aid in the success of next generation power electronics. Typically a GaN/AlN superlattice (SL) is used to alter the residual stress state in the GaN channel. The SL can change the stress state and increase the quality of the active GaN layer. However, the SL increases the thermal resistance, intensifying self-heating effects. Here we examine a series of wafers and devices, three of which contain a transition layer of AlN/AlGaN, and three of which contain SL structures of varying thickness. Photoluminescence is used to understand the residual stress in the GaN channel before and after device processing. In addition, devices are powered under DC operation and a series of temperature measurements are performed via Raman thermometry. The highly compressive GaN in the SL influences the Raman temperature correlation, making it difficult to distinguish the actual operating temperature of the device. To understand the true channel temperature, titanium dioxide (TiO2) nanoparticles were deposited onto the device, and measured with Raman. This allows for a measurement on the surface of the device channel that is not influenced by additional thermal/residual stress. In this study we find a distinct thermal/structural trade-off between the devices, and show that under certain operating conditions a SL device may be more than 50% hotter than an equivalent AlN device.

L.VI.1
14:30
Authors : F. Moisy, M. Sall, C. Grygiel, A. Ribet, E. Balanzat, I. Monnet
Affiliations : CIMAP-GANIL Boulevard Henri Becquerel BP 5133 14070 Caen cedex 5, France

Resume : AlxGa1-xN semiconductors cover bandgap values ranging from 3.4 eV to 6.1 eV and are attractive materials for the development of electronic and optoelectronic devices. In order to integrate them in harsh environments (for example in the outer space), it is necessary to understand their damaging mechanisms under Swift Heavy Ion (SHI) irradiations. Thus, AlxGa1-xN epilayers, grown on c-plane sapphire substrates, have been irradiated with SHI at GANIL facility and thereafter studied by Transmission Electron Microscopy (TEM) and UV-visible spectroscopy. Using different irradiation conditions, the contribution of electronic and nuclear energy losses on the induced modifications can be investigated. In GaN, TEM experiments exhibit disordered ion tracks for projectiles with an electronic stopping power (Se) higher than 17 keV/nm. These tracks appear more continuous as the Se of the ion increases. In AlN, no ion tracks have been observed in the same conditions, which show that the introduction of Al improves the resistance for ion track formation. It is confirmed by TEM observations on intermediate compounds. UV-vis spectra show absorption bands, related to point defect formation in these materials. In GaN, Ga vacancies have been identified and elastic collisions alone seem to explain their formation. On the contrary, for alloys with x>0.3, N-vacancies related defects were highlighted and an unexpected synergy between nuclear and electronic processes explain their formation.

L.VI.2
14:45
Authors : Stephanie Rennesson, Benjamin Damilano, Yvon Cordier
Affiliations : CRHEA-CNRS

Resume : In this work we investigate the carrier confinement of AlGaN/GaN HEMTs with an InGaN back-barrier under high bias conditions through electroluminescence (EL). A comparison of EL spectra between HEMTs with and without an InGaN back-barrier gives clues on the involved mechanisms. We see that EL allows probing the additional electric field in the GaN channel, induced by the InGaN back-barrier, which is responsible of the 2DEG confinement improvement. Then, we study the EL intensity and the peaks energy with respect to the gate and drain voltage as well as to the source-to-drain distance. All of these results bring a clear demonstration of which mechanisms from impact ionization or hot electrons are attributed the EL in these structures.

L.VI.3
15:15
Authors : Sergio García, Ignacio Íñiguez-de-la-Torre, Javier Mateos, Tomás González, Susana Pérez
Affiliations : Departamento de Física Aplicada, Universidad de Salamanca, Plaza de la Merced s/n, 37008 Salamanca, Spain

Resume : In the last decade, gallium nitride (GaN) has emerged as one of the most promising materials for applications at high power and microwave frequencies [1]. However, the self-heating mechanism and the relatively new fabrication technology turn thermal modelling into a key issue and necessary for a properly design to boost the performance. In this contribution, we make use of an in-house electro-thermal Monte Carlo tool [2] to simulate a 250 nm gate length AlGaN/GaN HEMT. In particular, we illustrate the influence on the heat dissipation of the grown process onto different substrates such as sapphire (Al2O3), silicon (Si), silicon carbide (SiC) [3] and diamond [4]. The temperatures at different regions within the transistor are calculated for different substrates as a function of the biasing conditions. Transfer characteristic and transconductance are extracted. In addition, the so-called thermal boundary resistance (TBR) that appears between the GaN and the substrate layer is included. After a detailed analysis of the local temperature map we have concluded that the level of current and the global electronic behavior is mainly fixed by the average temperature. The difference between the peak and average temperatures decreases when the TBR increases. A good thermal substrate with a very high thermal conductivity may lose the ability of dissipating the generated heat if it has a high TBR (bad quality of GaN interface). More details will be shown at the conference. [1] L. Dunleavy et al. Microwave Magazine, IEEE 11 (6) 82-96 (2010). [2] S. García et al. Semiconductor Science and Technology 30 035001 (2015). [3] X. Tang et al. Physica Status Solidi, 207 (8) 1820-1826 (2010). [4] K. Hirama et al. Appl Phys Lett, 98 (16) 162112 (2011).

L.VI.5
16:00
Authors : G. R Yazdi, I. Shtepliuk, T. Iakimov, V. Khranovskyy, R. Yakimova
Affiliations : Department of Physics, Chemistry and Biology (IFM), Link?ping University

Resume : The 2D allotrope of carbon, graphene, can be naturally formed on silicon carbide (SiC) substrates by thermal decomposition during which silicon leaves due to sublimation, while carbon resides on the substrate in an ordered manner. Despite the arguments that 2D carbon film on SiC is not graphene there exist numerous evidences that it is an epitaxial form (in particular on the Si- terminated face) of true graphene. One carbon atom thick honeycomb structure of the crystal lattice, similarly to free standing graphene, provides an energy band structure of linear dispersion resulting in peculiar physical properties and shows the finger print of graphene (2D peak) in Raman spectra. Formation mechanism is a top down solid state phase transition in which every next carbon monolayer is completed in a reversed growth mode under the previous one. The first step is a surface reconstruction of SiC providing a template (buffer layer) for epitaxial growth on the Si-face terminated substrate. C-terminated face has different growth mechanism of which better understanding is needed. Due to the peculiar deposition mechanism and the difference in the faces, the topology of the films is different which implies different physical properties of the films obtained at similar fabrication conditions. Here we are going to discuss the main consequences of the topological diversity and ways to control the process of an uniform material formation. The role of the surface reconstructed C-rich layer as a precursor of graphene and its effect on graphene properties will be enlighten. Depending on the buffer layer integrity the subsequently formed graphene may contain defects. The impact of the buffer layer on the graphene thickness evolution of large area SiC substrates, e.g. diameter of 100mm is of particular interests. We will address formation of bilayer inclusions in Si-face grown graphene and their influence on the electronic properties and sensitivity to ambient conditions. Our recent results encompass an improved graphene thickness uniformity with a bilayer occurrence down to 1% over a substrate area of 15x15mm2. This will have a significance concerning different applications, as well as understanding adsorption of functional groups at exposure to atmosphere. In the last part of the talk recent results on the application of graphene/SiC as a template for growth of GaN and ZnO will be communicated. Finally, conclusions reflecting the current progress of the material development and a brief outlook will be given.

L.VII.2
16:30
Authors : K. J. Sankaran,1,2 D. Q. Hoang,1,2 K. Srinivasu,3 S. Turner,4 P. T. Joseph,5 P. Pobedinskas,1,2 S. Drijkoningen,1,2 J. Verbeeck,4 J. D?Haen,1,2 K. C. Leou,3 K. T. Leung,5 I. N. Lin6 and K. Haenen1,2
Affiliations : 1Institute for Materials Research (IMO), Hasselt University, Diepenbeek, Belgium. 2IMOMEC, IMEC vzw, Diepenbeek, Belgium. 3Department of Engineering and System Science, National Tsing Hua University, Hsinchu, Taiwan. 4Electron Microscopy for Materials Science (EMAT), University of Antwerp, Antwerp, Belgium. 5WATLab and Department of Chemistry, University of Waterloo, Waterloo, Ontario, Canada. 6Department of Physics, Tamkang University, Tamsui, Taiwan.

Resume : A substantial effort has been dedicated for the development of cold cathodes as a key device for field emission flat panel displays and vacuum microelectronic devices. It is needed to fabricate cold cathodes which achieve low turn-on field, high emission current density, and good stability. Recent attention has been paid to diamond, aluminum nitride, and hexagonal boron nitride (hBN) with negative electron affinity (NEA), and carbon nanotubes with a large field-enhancement factor as a promising candidate for field emitters. Diamond films possess many desirable physical and chemical properties and have been the focus of intensive research since the successful synthesis of diamonds in the low pressure and low temperature chemical vapor deposition (CVD) process. Due to the NEA characteristics of the reconstructed (100) surface of diamond films, the diamond is considered to have great potential for applications as electron field emitters. Besides, boron nitride (BN) material has been found that NEA also appears on cubic and hexagonal BN (hBN) surfaces. It is to be noted that electrons are emitted at a low voltage from the carbon doped hBN film synthesized by laser ablation. Therefore, hBN is expected to be a promising material for a cold cathode. Being encouraged by a unique possibility to combine two nanostructured materials, we fabricated novel heterostructures based on hBN nanowalls (hBNNWs) and nitrogen doped nanocrystalline diamond (NCD:N). The NCD:N film is first grown by microwave plasma enhanced CVD, and then the hBNNWs are synthesized on the NCD:N film using a home built radio-frequency sputtering system. Superior field electron emission (FEE) properties of the given structures are observed. The FEE properties of hBNNWs-NCD:N heterostructures show a low turn-on field of 15.2 V/?m, a high FEE current density of 1.48 mA/cm2 (at an applied field of 21.3 V/?m) and life-time stability up to a period of 248 min. These values are far superior to those for hBNNWs grown on Si substrates without the NCD:N films, which have a turn-on field of 46.6 V/?m with 0.21 mA/cm2 FEE current density (at an applied field of 91.6 V/?m) and a 27 min life-time stability. A cross-sectional TEM investigation reveals that the utilization of the diamond interlayer circumvents the formation of amorphous BN prior to hBN growth. Moreover, incorporation of carbon in hBNNWs improves the conductivity of hBNNWs. Such a unique combination of materials results in efficient electron transport from NCD:N to hBNNWs and inside the hBNNWs, resulting in enhanced field emission of electrons from the hBNNWs. Additionally, vertically aligned diamond nanorods (DNRs) are fabricated from NCD:N films using nanodiamond particles as mask and hBNNWs are then grown on the DNRs to further enhance the FEE properties of these heterostructures. The fabrication of these hBNNWs-NCD:N hybrid heterostructures with superior FEE behaviors is a direct and simple process which opens new prospects in flat panel displays and high brightness electron sources. K. J. Sankaran, S. Turner, and P. Pobedinskas are FWO Postdoctoral Fellows of the Research Foundation ? Flanders (FWO).

L.VII.3
16:45
Authors : R. Dagher 1, S. Matta 1, L. Nguyen 1, M. Portail 1, M. Zielinski 2, T. Chassagne 2, J. Brault 1, Y. Cordier 1, A. Michon
Affiliations : 1 CNRS-CRHEA, Rue Bernard Gregory, 06560 Valbonne, France 2 NOVASiC, Savoie Technolac, Arche Bat 4, BP267, 73375 Le Bourget du Lac, France

Resume : Different techniques have been developed in order to grow large area of graphene. Catalytic CVD on metal allows growing polycrystalline graphene at the wafer scale. Si sublimation from SiC substrates under UHV or Argon allows growing large area monocrystalline graphene, but is naturally limited to the use of SiC substrate. On the other hand, the non-catalytic direct growth by MBE or CVD, originally developed on SiC, has been extended to other materials such as Al2O3 and AlN. Beyond fundamental studies, combining the properties of graphene and wide band gap materials such as AlN seems very appealing for applications in electronics and optoelectronics. In our first study of CVD growth of graphene on AlN templates on Si (111), the growth temperature was limited to the melting point of silicon, while the optimal growth temperature on SiC is generally above it. In this work we present preliminary growth attempts of graphene on AlN templates on SiC, where we will explore higher growth temperatures (1450-1550°C), but also the annealing of AlN templates on SiC and sapphire under H2 and N2 atmosphere, where we will discuss the influence of the H2/N2 gases on the AlN morphology. This work is partly supported by ANR Project < ANR-14-CE26-0025-01> ?NANOGANUV?.

L.VII.4
17:00
Authors : A. La Magna, I. Deretzis, F. Giannazzo, F. Roccaforte
Affiliations : CNR-IMM, Catania, Italy

Resume : The sequence of atomistic mechanisms allowing the growth and the manipulation of epitaxial graphene on Nitride or SiC substrates is barely known. This lack of fundamental knowledge results in a non-optimal process control and material quality. As a consequence, the development of these fundamental processes for the production of epitaxial graphene films is only based on expensive "blind" design of experiments (i.e. DOE) campaigns. We have applied atomistic stochastic simulations to study the direct graphene growth on SiC and GaN substrates. Chemical Vapour Deposition (CVD) synthesis technique is considered. As a consequence, the predictions of feature scale simulations are aided by the coupling to the continuum simulation of the kinetics and the reactions in the gas phase occurring in the CVD equipments. Kinetics of the deposited atomic layer kinetics proceeds by islands' nucleation and growth. Quantitative predictions of the process evolution can be obtained and readily compared with experimental structural characterization of processed samples. In particular we can describe the state of graphene structures and the defects' generation as a function of the initial substrate conditions and the process's parameters (temperature, pressure, gas flows). We also discuss similarities and differences between CVD growths and other synthesis processes of epitaxial graphene.

L.VII.5
17:15
Authors : Filippo Giannazzo, Gabriele Fisichella, Giuseppe Greco, Patrick Fiorenza, Raffaella Lo Nigro, Antonino La Magna, Fabrizio Roccaforte
Affiliations : Consiglio Nazionale delle Ricerche ? Istituto per la Microelettronica e Microsistemi, Strada VIII, n. 5 ? Zona Industriale, 95121 Catania, Italy

Resume : Wide bandgap semiconductors (WBG), such as SiC and group III-Nitrides, are key enabling materials for next generation of high power and high frequency devices. Furthermore, new device concepts with advanced functionalities can be enabled by the integration of WBG with graphene (Gr) or other 2D materials. Optimal performances of SiC MOSFETs and GaN HEMTs are currently limited by the properties of interfaces with dielectrics and metal contacts. Furthermore, the nanoscale structural and electronic properties of Gr interface with SiC rule the current transport in Gr and across Gr/SiC interface. In this context, scanning probe microscopy (SPM) techniques, such as CAFM, SCM and SSRM, proved powerful tools for the electrical nanocharacterization of these interfaces. This presentation provides an overview of recent applications of these SPM methods to relevant issues in SiC and GaN technology. High resolution carrier profiling by SCM and SSRM have been employed to investigate the electrical modifications of SiO2/4H-SiC interface in MOS structures subjected to annealing processes in N2O, or POCl3, typically used to achieve a reduction of the interface states density. High resolution current mapping by CAFM provided a deeper insight in mechanisms of current injection at Ohmic and Schottky contacts with AlGaN/GaN heterostructures. Furthermore, the correlation between surface topography and vertical current transport at Gr heterostructures with SiC and AlGaN/GaN has been clarified.

L.VII.6
17:45
Authors : B. Pécz1, A. Kovács2, R. Yakimova3, J. Anaya4, M. Kuball4
Affiliations : 1) Institute for Technical Physics and Materials Sci., Research Centre for Natural Sciences, Hungarian Academy of Sciences, 1121 Budapest, Konkoly-Thege u. 29-33; 2) Ernst Ruska-Centre for Microscopy and Spectroscopy with Electrons, Peter Grünberg Institute, Forschungszentrum Jülich, D-52425, Germany; 3) Department of Physics, Chemistry and Biology, Linköping University, S-581 83 Linköping, Sweden 4) Center for Device Thermography and Reliability, University of Bristol

Resume : Self-heating of high power devices is a major problem in GaN high electron mobility transistors (HEMT), in which the power reached the values of 10 W/mm (the length of the gate in mm). Therefore the heat dissipation became one of the major issues and substrates with high heat conductivity are needed. Apparently there are two kinds of materials with excellent thermal conductivity which can be used as a heat sink: diamond and graphene. Now we explore the possibilities of nitride layer growth on graphene/SiC. The task is challenging thanks to the lack of chemical reactivity between the two materials. Therefore instead of direct growth on graphene we present how high quality nitrides can be grown on patterned graphene/SiC templates. The grown samples are analysed by transmission electron microscopy (TEM). The dislocation density is practically the same as in GaN grown on SiC. The thermal properties are investigated by termoreflectance over the different parts of the pattern, separately. The first preliminary results show, that the used graphene is a multilayer of 3-5 graphene sheets, but this is advantageos for the high heat conductivity.

L.VII.7
18:00
Authors : Taha Ayari,1,2 Suresh Sundaram,2 Xin Li,1,2 Youssef ElGmili,2 Paul L. Voss,1,2 Jean Paul Salvestrini,2,3 and Abdallah Ougazzaden1,2
Affiliations : 1 School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia, 30332, USA 2 Georgia Tech Lorraine, UMI 2958, Georgia Tech - CNRS, 57070 Metz, France 3 Université de Lorraine, LMOPS, EA 4423, 57070 Metz, France

Resume : Hexagonal boron nitride (h-BN), known as ?white graphene?, has attracted great interest in recent years for its novel properties and potentials in various device applications such as deep UV photonics, neutron detectors and BN/graphene electronics. Hexagonal BN films have been obtained by chemical vapor deposition (CVD), molecular beam epitaxy (MBE) or metalorganic vapor phase epitaxy (MOVPE). The 2D layered nature of h-BN with weak van der Waals forces between atomic layers enables the growth of GaN-based materials by MOVPE [1]. The synthesis of a 3D sp3-bonded materials on a 2D sp2-bonded material is governed by van der Waals epitaxy (vdWE) [2]. The integration of h-BN with GaN-based materials by MOVPE needs to be further studied in terms of growth quality and wafer-scale. In this work, we compare the structural and the optical properties of GaN grown on 2D h-BN and the same material grown using the conventional two-step approach. The growth is performed by an Aixtron MOVPE CCS 3x2?? system on a c-face planar sapphire substrate. The enabling step for this study is the wafer-scale epitaxial growth optimization of 2D h-BN on a 2 inch sapphire substrate. Transmission Electron Microscopy (TEM) results for a 50 nm thick h-BN film conditions confirm the 2D nature of this material. The GaN layers thickness is 1.5 µm so it can be considered as a template for a GaN-based device structure growth. To assess the quality of the grown GaN layers, different characterizations are performed: High Resolution X-Ray diffraction (HR-XRD), Scanning electron Microscopy (SEM), Atomic Force Microscopy (AFM), and Raman spectroscopy are employed to examine the crystallographic and the morphologic properties while cathodoluminescence measurements are used to inspect the optical characteristics. This study supports the use of h-BN as a buffer for GaN growth at a large area which is important for industrial scale production. Application of 2D h-BN as a release layer for mechanical lift-off are very promising in power electronics. For example, HEMT transistors can be transferred to arbitrary substrates, which could improve thermal management and suppress the self-heating effect. [1] Y. Kobayashi, K. Kumakura, T. Akasaka, and T. Makimoto, Nature 484, 223 (2012). [2] M. Iqbal Bakti Utama, Q. Zhang, J. Zhang, Y. Yuan, F. J. Belarre, J. Arbiolbc and Q. Xiong, Nanoscale 5, 3570?3588 (2013).

L.VII.8
Start atSubject View AllNum.Add
 
Oxides : G.Feuillet
08:30
Authors : M. Higashiwaki1, M. H. Wong1, K. Konishi1, K. Sasaki2,1, T. Kamimura1, K. Goto2,3, K. Nomura3, Q. T. Thieu3, R. Togashi3, H. Murakami3, Y. Kumagai3, B. Monemar3,4, A. Koukitu3, A. Kuramata2, T. Masui2, and S. Yamakoshi2
Affiliations : 1 National Institute of Information and Communications Technology, Koganei, Tokyo 184-8795, Japan 2 Tamura Corporation, Sayama, Saitama 350-1328, Japan 3 Department of Applied Chemistry, Tokyo University of Agriculture and Technology, Koganei, Tokyo 184-8588, Japan 4 Department of Physics, Chemistry, and Biology, Linköping University, SE-58183 Linköping, Sweden

Resume : The worldwide quests for stable energy supplies and reduced greenhouse gas emissions have driven demands for revolutionary technologies to realize efficient energy utilization. This is possible by replacing current mainstream Si power devices with those made from wide bandgap semiconductors, which are capable of delivering higher breakdown voltage and lower loss. New wide bandgap semiconductor gallium oxide (Ga2O3) that we have been proposing has excellent material properties for power device applications represented by the extremely large breakdown field due to its large bandgap. Another important feature in industry is that large single-crystal ?-Ga2O3 bulks and wafers can be fabricated with easy and low-cost melt growth methods. Therefore, Ga2O3 power devices will benefit from lower cost and higher efficiency than its SiC and GaN counterparts by virtue of the excellent material properties and the relative ease of mass wafer production. We have been pursuing a pioneering work on research and development (R&D) of Ga2O3 transistors and diodes. Significant progress has been made in the development in the last five years. In this talk, we will provide an overview of our recent R&D progress on Ga2O3 power devices, including single-crystal wafer production, thin film growth by halide vapor phase epitaxy, and device processing and characterization of field-effect transistors and Schottky barrier diodes.

L.VIII.1
09:00
Authors : C. Ton-That¹, L. Zhu¹, L. L. C. Lem¹, M. R. Phillips¹, F. Reisdorffer², T.-P. Nguyen², C. Nenstiel³, A. Hoffmann³
Affiliations : 1 School of Mathematical and Physical Sciences, University of Technology Sydney, Australia; 2 Institut des Matériaux Jean Rouxel, Universite de Nantes, 44322 Nantes Cedes 03, France; 3 Institut für Festkörperphysik, Technische Universität Berlin, Hardenbergstr. 36 10623 Berlin, Germany

Resume : Charge-based deep level transient spectroscopy (Q-DLTS) was used to investigate shallow traps in a- and c-plane ZnO crystals (grown hydrothermally by the MTI Corp.). Au/ZnO/In Schottky diodes were fabricated to study the effects of hydrogen doping on the electrical and optical properties. Isothermal Q-DLTS scans were acquired from the diodes at various temperatures from 80 K. Both diodes of a- and c-plane ZnO show a broad Q-DLTS peak at low temperatures (< 140 K), indicating a complex distribution of shallow interface traps. Arrhenius plots of the Q-DLTS data reveal an average activation energy of 23 meV and 19 meV for a- and c-plane ZnO crystals, respectively. Their capture cross-sections are similar, in the range from 10-17 to 10-16 cm-2. Doping the ZnO crystals with hydrogen by plasma hydrogenation did not affect this interface trap but introduced a new trap designated E11 with an activation energy of 11 meV. The formation of E11 trap in the crystal coincides with an increase in the electron density from 4.4 × 1015 to 7.1 × 1017 cm-3. Temperature-resolved photoluminescence (PL) reveals a strong enhancement of the emission at 3.336 eV in H-doped ZnO, which is attributable to Y-line structural defects. This Y-line emission exhibits Arrhenius-like thermal quenching behaviour with a thermal activation energy of 11 meV, consistent with the E11 trap depth. Based on a multitude of results from Q-DLTS and optical characterisation, it can be concluded that surface extended defects act like an electron trap and plays a vital role in the properties of ZnO-based diodes.

L.VIII.2
09:15
Authors : K.M. Paradowska*, E. Placzek-Popko, M.A. Pietrzyk, E. Zielony, Z. Gumienny, A. Kozanecki
Affiliations : Faculty of Fundamental Problems of Technology, Wroclaw University of Technology, Wybrzeze Wyspianskiego 27, 50-370 Wroclaw, Poland; Faculty of Fundamental Problems of Technology, Wroclaw University of Technology, Wybrzeze Wyspianskiego 27, 50-370 Wroclaw, Poland; Institute of Physics, Polish Academy of Sciences, al. Lotnikow 32/46, 02-668 Warsaw, Poland; Faculty of Fundamental Problems of Technology, Wroclaw University of Technology, Wybrzeze Wyspianskiego 27, 50-370 Wroclaw, Poland; Faculty of Fundamental Problems of Technology, Wroclaw University of Technology, Wybrzeze Wyspianskiego 27, 50-370 Wroclaw, Poland; Institute of Physics, Polish Academy of Sciences, al. Lotnikow 32/46, 02-668 Warsaw, Poland

Resume : Recently, ZnO attracted worldwide interest due to its optoelectronic and photovoltaic potential. Special attention draws its direct wide band gap (3.3 eV), which makes it perfect for use in electronics employing ultraviolet light, such as emitters and detectors. Moreover, ZnO exhibits a number of native defects occurring in the energy band gap, which opens up new possibilities in the use of this material, for example in white LEDs [1]. There are several papers on defect related deep traps in ZnO grown by different methods, but the data on ZnO grown by plasma-assisted molecular beam epitaxy (PA-MBE) technique is missing. In present paper we report for the first time on the studies of electrically active defects in ZnO/ZnMgO/ZnO epilayers grown by PA-MBE technique on p-type Si(111) substrate. Space charge techniques, such as capacitance-voltage (C-V) measurements and Deep Level Transient Spectroscopy (DLTS) have been performed within the temperature range of 40-350 K. Based on the C-V analysis it was assumed that the depletion region of the heterojunction extends mainly on the ZnMgO side. The DLTS studies revealed the existence of three electron traps with activation energies of 0.06, 0.38 and 0.39 eV. DLTS signal analysis let us conclude that the lowest energy trap is associated with the bulk of the ZnMgO layer while the other two are located close to its surface. Possible origin of the traps was discussed. [1] M. Willander et al., Nanotechnology 20, 332001, 44 (2009).

L.VIII.3
09:30
Authors : M.R.Farrow,J.Buckeridge,T.Lazauskas,A.A.Sokol,S.M.Woodley,C.R.A.Catlow
Affiliations : University College London

Resume : We perform an extensive computational investigation into wide bandgap composite systems that comprise secondary building units of two different compounds.   These systems are designed with the aim of enhancing an electron-hole separation, which is a process of fundamental interest in electrochemistry, photocatalysis, and optoelectronic devices. The main building block in this class of material is a "bubble", or a cage, which may be inserted into another bubble forming a double bubble.  A periodic framework of such double bubbles possesses excellent electronic properties, which we demonstrate for the zinc oxide, silicon carbide, and, gallium nitride secondary building units using ab-initio approaches.

L.VIII.4
 
III-N device processing : F. Roccaforte
10:15
Authors : Martin Kuball
Affiliations : Center for Device Thermography and Reliability (CDTR), University of Bristol, Bristol BS8 1TL, United Kingdom

Resume : Wide bandgap electronic device reliability in particular of GaN electronics is nowadays mostly thermally limited. Their integration with diamond is being explored to enable very efficient heat extraction from the active part of the GaN electronic devices. We discuss the latest developments in this field, including the optimization of the thermal management and the reliability of this new material and device system.

L.IX.1
10:45
Authors : (1) Wahid KHALFAOUI, (1-2) Thomas OHEIX, (1) Gergio EL-ZAMMAR, (1) Frédéric CAYREL, (3) Roland BENOIT, (2) Arnaud YVON, (2) Emmanuel COLLARD, (1) Daniel ALQUIER
Affiliations : (1) UNIVERSITE FRANÇOIS RABELAIS DE TOURS GREMAN UMR 7347CNRS (GROUPE DE RECHERCHE EN MATERIAUX MICROELECTRONIQUE, ACOUSTIQUE ET NANOTECHNOLOGIES), 16 RUE PIERRE ET MARIE CURIE, BP 7155, 37071 TOURS CEDEX 2, FRANCE ; (2) STMICROELECTRONICS, 16 RUE PIERRE ET MARIE CURIE, BP 7155, 37071 TOURS CEDEX 2, FRANCE ; (3) UNIVERSITE D?ORLEANS ICMN (INTERFACES, CONFINEMENT, MATERIAUX ET NANOSTRUCTURES) UMR 7374 CNRS, 1BIS RUE DE LA FEROLLERIE CS 40059, 45071 ORLEANS CEDEX 2, FRANCE ;

Resume : In this work, we studied the activation of Mg implanted in the GaN. Multi-implantations were performed to achieve a box-like profile. SIMS measurements showed higher depth for the implanted Mg species than expected due to defect-assisted channeling effect in the GaN layer. Also, a high density defect region induced by the implantation was evidenced by TEM characterization. High temperature annealing was then conducted on implanted and non-implanted samples. To protect GaN surface during the annealing, an AlN cap-layer was deposited by reactive sputtering. Then, a SiOx layer was also deposited on the AlN layer by PECVD, leading to a double cap-layer. Afterwards, the capped samples are RTA-annealed at high temperatures for several minutes under nitrogen. Two type of annealing processes were applied: a monocycle and a multicycle annealing. After annealing, the SiOx layer was etched using HF while the AlN layer was removed by a H3PO4 solution at 120°C. AFM characterizations after annealing and cap-layer etching demonstrated that a GaN surface with similar roughness with as-grown samples and pit-free can be achieved after both monocycle and multicycle annealing steps. However, an AlGaN layer at the AlN/GaN interface, observed by ToF-SIMS, remained after the etching of the AlN layer. Finally, Schottky diodes were processed on the non-implanted and annealed samples, evidencing a double barrier, while PN junction diodes are still being processed on the implanted and annealed samples.

L.IX.2
11:00
Authors : S. Mhedhbi1, M. Lesecq1, P.Altuntas1, N. Defrance1, Y. Cordier2, B. Damilano2, G. Tabares Jimenéz2, A. Ebongue3, V. Hoel1
Affiliations : 1 IEMN- CNRS UMR8520, Av. Poincaré, Cité Scientifique, 59650 Villeneuve d?Ascq; 2 CRHEA, CNRS, rue Bernard Grégory, 06560 Valbonne; 3 3M France, CTC, Avenue Boulé, 95250 Beauchamp

Resume : Since few years, flexible electronics have attracted a great deal of attention opening new opportunities for a wide range of applications. Concerning high power/high frequency applications needing conformability, GaN HEMTs are the best candidates thanks to high breakdown voltage with high cut-off frequencies. In this context, this work is focused on flexible GaN-based HEMTs which combine the maturity of GaN-technology and the properties of conformability of plastic tape. However, the poor thermal conductivity of plastic tapes has a dramatic impact on transistors performance and reliability. In this work, devices transferred onto two different tapes are compared with DC, RF and large signal measurements at 10GHz. Two kinds of tapes with various thermal conductivities, (sample1 ?=0.8W/m.K and sample2 ?=1.6W/m.K) are used to evaluate their impact on device performances. The tapes consist of a carrier, highly loaded with thermally fillers, coated on one side with a high temperature resistance acrylic or silicone adhesive. At VDS=5V, flexible device on sample1 exhibits a linear power gain Gp of 12dB and a power added efficiency PAE of 14% associated with a saturated power Psat of 110mW/mm. Under the same bias conditions, device on sample2 reaches a Gp of 15.8dB and a PAE of 30% associated with a Psat of 346mW/mm relying to the thermal properties improvement of the tape. Power densities reached in this work constitute the state of the art concerning flexible GaN HEMTs

L.IX.3
11:30
Authors : Aurélien LARDEAU-FALCY (a,b), Joel EYMERY(c), Frédéric MAZEN(a,b), Matthew CHARLES(a,b), Christophe LICITRA (a,b), Marianne COIG(a,b), Yannick BAINES (a,b)
Affiliations : a: Univ. Grenoble Alpes, F-38000 Grenoble, France; b: CEA, LETI, MINATEC Campus, F-38054 Grenoble, France; c:Grenoble Alpes University, CEA INAC-PHELIQS-NPSC, 38054 Grenoble, France.;

Resume : GaN p-type doping by Mg ion implantation is a keystone to develop high power and high frequency devices, but severe challenges have still to be addressed. One of the main difficulty concerns the chemical and morphological GaN stability during high temperature annealing necessary to achieve damage recovery and Mg activation. The efficiency of different cappings to limit GaN damaging during high temperature anneal is studied as well as the impact of Mg implantation process. We select 2µm thick GaN layers grown on Si(111) capped in different ways and implanted with 1E13 - 1E15 at/cm² fluences. In this range, we show that a thin capping, composed of a few nm thick AlN and SiN stacks grown in situ just after GaN deposition, provides a good solution to keep flat morphology and no strain cracking up to 10h annealing at 1100°C in N2. These results are compared to thicker protective stackings with AlN layers covered by 100 nm of Si3N4 deposited after the implantation. Finally, we checked the capping?s stability to RTA annealing (?1400°C in N2) used for dopant activation. The quality of the GaN sublayer is characterized by photoluminescence to analyze structural defects and Mg related complexes. X-ray diffraction is performed to evaluate residual strains at the different process stages and electrical activation of Mg is evaluated through ECV and hall measurements. Finally, in the light of these results, interest and limitations of each kind of capping tested are discussed.

L.IX.5
11:45
Authors : 1A. YVON, 1J. LADROUE, 1E. COLLARD, 2D.ALQUIER, 1F. COMPARETTI
Affiliations : 1. STMICROELECTRONICS TOURS SAS,10 RUE THALES DE MILET CS 97155 37071 TOURS CEDEX 2 2. UNIVERSITE FRANÇOIS RABELAIS DE TOURS GREMAN UMR 7347CNRS (GROUPE DE RECHERCHE EN MATERIAUX MICROELECTRONIQUE, ACOUSTIQUE ET NANOTECHNOLOGIES), 16 RUE PIERRE ET MARIE CURIE, BP 7155, 37071 TOURS CEDEX 2, FRANCE.

Resume : Gallium nitride is now a well spread semiconductor material with interesting applications in optoelectronics and now power electronics. In the case of power diodes, vertical devices are only available with free-standing substrates with prohibitive costs for mass market. If various diodes are presented in the literature using GaN epitaxy on silicon substrate, their performances remain low. Fully vertical devices have better performances. Simulation of pseudo-vertical (PV) GaN diodes on silicon is crucial to improve diode design and performance. In order to avoid surface loss in PV structures, one solution can be to etch GaN through buffer to contact the substrate. In this work, we tried to determine if such a solution is beneficial for electrical behavior in terms of Forward (Vf) and breakdown voltage (Vbr). We also tried to establish design rules that may offer better performance versus the device On resistance (Ron). Therefore, we developed a specific technique to completely etch GaN and buffer to reach silicon carrier substrate. Subsequently, we realized Through GaN Via (TGV) diodes and we made electrical evaluation to verify the influence of TGV structure on electrical parameters (leakage current, forward current and breakdown voltage).

L.IX.6
12:00
Authors : Georges Pavlidis[1], Luke Yates[1], David Mele[1], Farid Medjdoub[2], Samuel Graham[1]
Affiliations : [1]Georgia Institute of Technology Woodruff School of Mechanical Engineering Atlanta, GA, 30332, USA [2]Institute of Electronic, Microelectronic and Nanotechnology Villeneuve-d?Ascq, 59562, France

Resume : The production of low cost high power electronics has been achieved by the ability to fabricate AlGaN/GaN high electron mobility transistors (HEMTs) on Si substrates. Specifically for high power conversion, the ability to maintain high off-state breakdown voltages with large electron densities is necessary. The use of Si, however, limits the device?s capabilities due to its weak electrical field strength and has been identified as the main cause for breakdown. To overcome this obstacle, removal of the Si substrate has shown to increase the device?s breakdown voltage up to 3000 V. While removing the Si extends the device?s capabilities for high voltage applications, the effects on the thermal performance during operation has not yet been investigated. Raman Thermometry is used to show that the removal of Si increases significantly the device?s thermal resistance and thus limits the maximum power dissipation the device can achieve before severe degradation. In order to improve the thermal dissipation of the etched Si devices, thermally conductive high breakdown field materials, such as AlN, could be implemented inside the trench. Time-domain thermoreflectance (TDTR) is used to extract the effective thermal conductivity of AlN grown on Si and a thermal finite element model is developed to estimate the reduction of the gate junction temperature. The implementation of AlN deposited below the etched back GaN-on-Si is shown to significantly increase the maximum power dissipation.

L.IX.7
13:30
Authors : J. Pernot, D. Eon, P. Bergonzo, M-L. Hicks, R.B. Jackman, A. Pakpour-Tabrizi, E. Gheeraert
Affiliations : Univ. Grenoble Alpes, Inst NEEL, F-38000 Grenoble, France ; CNRS, Inst NEEL, F-38000 Grenoble, France ; Institut Universitaire de France, 103 boulevard Saint Michel, 75005 Paris ; CEA, LIST, Diamond Sensors Laboratory, F-91191 Gif-sur-Yvette, France ; LCN & EEE Dpt, University College London,, London, WC1H 0AH, UK

Resume : Within its supportive action under program H2020, Europe has recently granted support to the GREENDIAMOND project, that gathers 14 partners towards the development of single crystal diamond structures aiming a the fabrication of a MOSFET power converter. Based on the recent demonstration that a MOS structure fabricated on diamond reached inversion, we aim at the assembly of a complete transistor structure to be used in high voltage applications: target prototypes aim at devices compatible with 6.5kV and 10kV operating voltages. The project ultimately aims at the fabrication of high voltage converters that overtakes Si, SiC and GaN transistor performances in terms of high voltages and current densities, and compatible with harsh operating environments. The prototypes to be developed aim at high temperature operations (< 250°C) and high switching capabilities (5hHz). The project started on May 2015 for a duration of 4 years. This poster will describe the context, the consortium, and the project objectives.

L.P.1
13:30
Authors : Gauthier CHICOT1,2, David EON3,4, Nicolas ROUGER1,2
Affiliations : 1-Univ. Grenoble Alpes, G2ELab, F-38000 Grenoble, France 2-CNRS, G2ELab, F-38000 Grenoble, France 3-Univ. Grenoble Alpes, Institut Néel, F-38000 Grenoble, France 4-CNRS, Institut Néel, F-38000 Grenoble, France

Resume : Diamond, thanks to its outstanding physical properties, is the ultimate semiconductor to go beyond the classical design trade off in power devices. The two switches (Schottky Barrier Diode and Field Effect Transistor (FET)) which will allow fabricating a full diamond commutation cell for power converters are under investigation. Nevertheless, to take benefit of diamond properties in such components a particular attention has to been paid to the design of the structure based on the most recent experimental characterization of diamond physical parameters. In this work, we focused on the optimization of the Ron.S(BV) figure of merit while sizing the drift layer of unipolar high voltage diamond power devices. Based on the ionization integral calculation with diamond adapted impact ionization coefficients in a one dimensional semi-analytical approach of the breakdown voltage, we performed an accurate analysis of the reciprocal punch through factor as function of the breakdown voltage. In the case of diamond FETs, we also evaluated the minimum channel dose (doping level times thickness) avoiding the pinch of the channel and so ensuring a good operating regime for diamond p-FET. These results will allow proposing preliminary design rules to fabricate working unipolar diamond power devices. However we will show that thickness and doping required for such structures are quite challenging for crystal growth in the context of high voltage power devices.

L.P.2
13:30
Authors : F. Giannazzo (1), G. Nicotra (1), I. Deretzis (1), A. Piazza (1,2), G. Fisichella (1), S. Agnello (2), C. Spinella (1), F. Roccaforte (1), R. Yakimova (3)
Affiliations : (1) CNR Consiglio Nazionale delle Ricerche ? Istituto per la Microelettronica e Microsistemi, Strada VIII, n. 5 ? Zona Industriale, 95121 Catania, Italy; (2) Department of Physics and Chemistry, University of Palermo, Italy; (3) IFM, Linkoping University, Sweden

Resume : The precise control over graphitization of SiC surfaces is a key step for the production of large-scale epitaxial graphene films. While large-area growth of monolayer graphene (Gr) with a single orientation with respect to the SiC substrate has been obtained on the Si face, the growth on the C face under similar conditions typically yields an inhomogeneous film, both in the number of Gr layers, their orientation with respect to the substrate and stacking order. This paper reports an extensive investigation of the morphological and structural properties of Gr grown on 4H-SiC (000-1) at temperatures ranging from 1850 to 1950°C and at 900 mbar Ar pressure. Atomic force microscopy (AFM) and micro-Raman spectroscopy have been used to characterize the lateral uniformity in the number of layers, the stacking-type, doping and strain. Scanning transmission electron microscopy (STEM) and electron energy loss spectroscopy (EELS) provided atomic resolution information on the interface structure and chemical composition, revealing the presence of a 1.1-1.4 nm thick Si-C-O amorphous interfacial layer [1]. The Si concentration gradually decreases along this film thickness moving from the interface with SiC to the first Gr layer. Basing on these experimental results, the mechanisms of Gr growth on the C face of SiC and the role of this amorphous film as a precursor for Gr formation at high sublimation temperatures will be discussed. [1] G. Nicotra, et al., Phys. Rev. B 91 (2015) 155411.

L.P.3
13:30
Authors : L. Souqui, H. Högberg, H. Pedersen, A. Henry
Affiliations : IFM Linköping University; IFM Linköping University; IFM Linköping University; IFM Linköping University

Resume : Boron nitride is a 13-nitride composed of boron and nitrogen. The sp2-phases are isoelectronic to graphite, and have many similar properties such as chemical inertness and high thermal diffusion coefficient; boron nitride is however a wide band gap semiconductor which can be doped both p-type and n-type, it shows high dielectric strength and is a potential substrate for graphene. Even more than other nitrides of the 13th column elements of the periodic table, BN shows significant lattice mismatch with silicon. Furthermore, it is well known that high temperatures are usually required for the optimal growth of boron nitride by MOCVD; which are higher than or too close to the melting point of silicon. Another problem is finally the reactivity of the silicon surface at high temperature, in which small atoms such as carbon and boron diffuse rapidly. BN thin films were deposited on boron-doped silicon in a horizontal hot wall reactor at moderate temperatures (1,000 - 1,200°C) using ammonia and triethylborane (TEB) as precursors, silane as growth enhancer and hydrogen as carrier gas. In order to reduce the lattice mismatch and/or the diffusion of the material into the substrate, different surface pretreatments involving nitrogen, boron, carbon and/or silicon were performed. Different V/III ratios were investigated. The films were mainly characterised by XRD and FTIR.

L.P.4
13:30
Authors : Adel Najar (1), Dalaver Anjum (2)
Affiliations : (1) Department of Physics, UAE University Al-Ain, P.O.Box 15551 United Arab Emirates; (2) Imaging and Characterization Lab, King Abdullah University of Science and Technology (KAUST), Thuwal, Makkah 23599-6900, Kingdom of Saudi Arabia

Resume : GaN is a robust wide band-gap semiconductor with a high melting point, high carrier mobility, and high electrical breakdown field. It is a prime candidate for use in future high-performance, high power optoelectronic devices. In this work, GaN Nanowires (NWs) have been synthesized via metal-electroless etching method with Pt as catalyzer. Excellent substrate coverage was observed with wires with widths of 15-200 nm and length higher than 10 ?m. Transmission electron microscopy confirmed that the wires were single-crystalline in wurtzite structure and high quality crystalline. Reaction time greatly influences the growth of GaNNWs in term of length and wires density. Further investigation based on photoluminescence and Raman spectral studies were done to probe the optical properties of these nanowires. These nanowires are potentially attractive for novel photonic and biological nanodevice applications.

L.P.6
13:30
Authors : Michele Amato [1], Riccardo Rurali [2]
Affiliations : [1] Institut d?Electronique Fondamentale, UMR8622, CNRS, Universite? Paris Sud, 91405 Orsay, France; [2] Institut de Cie?ncia de Materials de Barcelona (ICMAB-CSIC), Campus de Bellaterra, 08193 Bellaterra, Barcelona, Spain

Resume : Today Si nanowires (NWs) represent a viable solution to realize high-performance sensors due their unique properties and their potential for fabrication into high density nanoscale devices [1]. The large surface-to-volume ratio and the possibility to tune their properties at growth time controlling the composition, crystal orientation, and diameter make Si NWs bound to outperform any other conventional alternative in a large class of sensing environments [1]. On the other hand, because of its excellent chemical and mechanical stability, high hardness and low density it is widespread belief that SiC is a much better biocompatible material than Si. Moreover, its ease to be grown on Si substrates makes it a perfect bridge material between the electronic and biological world. The combination of Si and SiC in a nanostructure, as shown by recent experiments [2], could lead therefore to a material with the smart properties of Si (that can be facilely integrated into current technology) and the biocompatibility of carbon-based systems. We study Si-SiC core-shell NWs by means of electronic structure first-principles calculations [3]. We show that the strain induced by the growth of a latticemismatched SiC shell can drive a semiconductor-metal transition, which in the case of ultrathin Si cores is already observed for shells of more than one monolayer. Core-shell nanowires with thicker cores, however, remain semiconducting even when four SiC monolayers are grown, paving the way to versatile, biocompatible nanowire-based sensors. [1] M. Amato and R. Rurali, Prog. Surf. Sci. 91, 1-28 (2016) [2] L. Latu-Romain and M. Ollivier, J. Phys. D, 47, 203001 (2014) [3] M. Amato and R. Rurali, Nano Lett. 15, 3425 (2015)

L.P.7
13:30
Authors : S. Mitomo(1,2),T. Matsuda(1,2), K. Murata(1,2), T. Yokoseki(1,2),T. Makino(2), A.Takeyama(2), S. Onoda(2), T. Ohshima(2), S.Okubo(3), Y. Tanaka(3), M. Kandori(3), T. Yoshie(3), Y. Hijikata(1)
Affiliations : 1). Saitama Univ. ;2). Japan Atomic Energy Agency ;3). Sanken Electric Co., Ltd.

Resume : It is necessary to promptly develop power devices with high radiation tolerance for decommissioning of TEPCO Fukushima Daiichi nuclear plants. As SiC is one of candidate radiation hard (rad-hard) semiconductors and, in addition, mass production of SiC MOSFETs as switching devices with low power-loss and high temperature operation has been started, SiC MOSFET is expected to apply to rad-hard electronics. For this reason, there have been some reports on the gamma-ray irradiation effect on SiC MOSFET [1?3]. According to the reports, main cause of degradation of SiC MOSFETs by gamma-ray irradiation is negative shift of electronic characteristic due to positive charge generated in gate oxide . In the case of Si MOSFET, it is reported that thinner gate oxide has higher radiation tolerance. In this report, we investigated effect of oxide thickness on gamma-ray irradiation response of SiC MOSFETs . 4H-SiC vertical MOSFETs with different gate oxide thickness (35 and 60 nm) were irradiated with gamma-rays from 60Co source. As a result, threshold voltage,Vth, for both SiC MOSFETs shifted to negative voltage-side gently. However, the Vth for MOSFET with 60 nm thick oxide rapidly decreased over 400 kGy and felt in normally-on at lower dose than that with 35 nm thick oxide. It suggests that thinner oxide has higher radiation tolerance. To optimize the structure and fabrication process for gamma-ray radiation resistance, in the conference, we will present the relationship between gamma-ray radiation resistance and nitridation treatment as well as p-well implantation conditions.

L.P.9
13:30
Authors : ?eljko Pastuovi?, Takeshi Ohshima, Ivana Capan, Yuya Kambayashi, Rainer Siegele, Shin-ichiro Sato, Wataru Kada, Norihiro Hoshino, Hidekazu Tsuchida
Affiliations : Australian Nuclear Science and Technology Organisation, Locked bag 2001, Kirrawee DC NSW 2232, Australia Japan Atomic Energy Agency, 1233 Watanuki, Takasaki, Gunma 370-1292, Japan Material Physics division, Institute Rudjer Boskovic, POBox 180, 10000 Zagreb, Croatia Faculty of Science and Technology, Gunma University, Kiryu, Gunma 376-8515, Japan Central Research Institute of Electric Power Industry, 2-6-1 Nagasaka, Yokosuka, Kanagawa 240?0196, Japan

Resume : We investigated the radiation defects produced in the epitaxial n-type 4H-SiC. The 4H-SiC SBD samples have been irradiated by fast-scanning rarified 2 MeV He and 8 MeV O ion microbeam in order to isolate defects formed in single ion cascades by minimizing an unintentional annealing of defects by excess incoming ions usually present in broad ion beam irradiation. The ion species and energies were chosen for projectiles to have different primary defect introduction rates along the implantation region while maintaining the similar end of an ion range in sensitive volume of tested devices. Radiation defects have been characterized by C-V measurements, Deep Level Transient Spectroscopy (DLTS) and Heavy Ion Induced Charge Transient Spectroscopy (HIQTS). The analysis revealed formation of two deep electron traps in the irradiated and not thermally treated 4H-SiC that resemble those already reported in the literature, the S1 and Z1/2 defects. Depth profiling of the partly damaged diode irradiated with a raster scanned ion microbeam resolved those two traps appear at different implantation depths: S1 is being dominant in shallower implantation region corresponding to the lower defect introduction rates and Z1/2 is being dominant in deeper regions closer to the end of an ion range corresponding to the higher defect introduction rates.

L.P.10
13:30
Authors : J. Cottom[1], T. Aichinger[2], G. Gruber[3], G. Pobegen[3], A. L. Shluger[1]
Affiliations : 1) University College London, Dept. Physics and Astronomy, Gower Street, London, UK; 2) Infineon Technologies AG, Siemensstraße 2, 9500 Villach, Austria; 3) KAI GmbH, Europastraße 8, 9524 Villach-St.Magdalen, Austria;

Resume : Over the last 20 years, great advances have been made in the quality, size, and cost of SiC based devices, making SiC technologies a realistic choice for certain power applications. In spite of this potential, major performance issues need to be overcome before the full potential of SiC based technologies can be realised. The understanding of defects within SiC is of key importance, with a large amount of literature, both experimental and theoretical being devoted to this. The objective of this work is to gain an understanding of how implantations defects evolve during the various annealing treatments the devices are subjected to. The ultimate goal being to describe the lowest energy conformations and describe a passivation pathway. Previous EDMR studies have unambiguously identified the NCVSi defect within N-implanted pn-junctions this defect represents the starting point of the study. Using first-principles density functional theory (DFT) calculations the NCVSi previously identified is the starting point, the interaction of this defect with the various interstitial species was considered. This allowed an understanding of the evolution of this system during the various annealing treatments to which SiC devices are exposed, a detailed survey of the migration barriers for Si, C and N were calculated. The potential energy surface (PES) which was subsequently described shows the NCCSi, and NCNSi to represent minima on the PES. Further work is required to confirm experimentally if either of these defects are observed in C-treated N-implanted devices, although this result does show tentative agreement with the work of Hiyoshi et al. (2009) and Kawahara et al. (2012).

L.P.11
13:30
Authors : Y.Bonyadi, 1, P.M. Gammon, 1, R.Bonyadi, 1, J. Hu, V.A. shah 1, P.A. Mawby, 1
Affiliations : 1) School of Engineering, University of Warwick, Coventry, CV4 7AL, UK aY

Resume : This work will focus on the impact of a surface morphological defect, an obtuse triangular defect, on the electrical and switching characteristics of fabricated PiN diodes. It has been reported that 3C triangular inclusions significantly limit PiN diode performance, with substantial increases in leakage currents and reduce in breakdown voltages by>50% [1]. In this study, diodes are intentionally fabricated on and off triangular defects on 4H-SiC wafers with 30, and 35µm epitaxial layers. I-V characterisation shows that the defects limit the active area of the devices creating a high resistance short through the drift region which increases the leakage current by 6 orders of magnitude. The reverse characteristics show the soft breakdown of both substrates. We will show for the first time the impact of triangular defects on switching characteristics of SiC PiN diodes fabricated on and off-defects. Previous work [2] suggested that a large concentration of stacking faults can exist around a triangular defect, negatively affecting carrier lifetime. In the devices to be presented, switching measurements show no decrease in stored charge, suggesting either that few extra stacking faults are present around the defect, or that their impact on recombination is minor. In contrast, there is some evidence (mainly in lower current values) that the stored charge is increased, though the reason for this is unclear, and dependent on the size and depth of the defect. In this paper we will present these recent findings as well as further verification of our results, using TEM images of the defects and breakdown characteristics of the diodes. [1]R.E.Stahlbush et al Mater. Sci. Forum 556-557 (2007) 295 [2] S.I.Maximenko et al Appl. Phys. Lett. 94, 092101 (2009)

L.P.12
13:30
Authors : Razvan Pascu, Florea Craciunoiu, Mihaela Kusko
Affiliations : National Institute for Research and Development in Microtechnologies, 72996, Bucharest, Romania

Resume : SiC is a promising candidate to replace Si for power devices and high temperature applications, being a wide-bandgap semiconductor with excellent thermal conductivity, chemical inertness and radiation hardness. Moreover, SiC is the only wide bandgap semiconductor which allow the growth of high quality SiO2 layers. In this paper a study regarding the SiO2/SiC interface has been done, focused on two post-oxidation annealing treatments in order to improve the electrical properties of the interface. In this sense, MOS capacitors have been fabricated starting from n-type 4H-SiC wafers, with an epitaxial layer. The thin oxide has been grown in dry oxygen atmosphere, at 1100°C. In order to improve the electrical properties of the SiO2/SiC interface, especially to increase the tunneling barrier height for electrons (?b) and decrease the density of interface states, two post-oxidation annealing have been performed in N2 and POCl3 atmosphere. The I-V characteristics recorded at room temperature were analyzed using the Fowler-Nordheim formalism to obtain ?b. While for the as-oxidized samples an electron tunneling barrier of 2.23 eV was determined, in N2 and POCl3 samples ?b increases to 2.47 eV and 2.98 eV, respectively, blocking the charge transfer from semiconductor to oxide. Taking into account that the ?b decreases with temperature, favoring charge injection, bidirectional C-V curves have been performed, at different temperatures up to 200°C, and the hysteresis was analyzed.

L.P.13
13:30
Authors : B. Hähnlein, J. Pezoldt
Affiliations : FG Nanotechnologie, Institut für Mikro- und Nanotechnologien MacroNano and Institut für Mikro- und Nanoelektronik, TU Ilmenau, Postfach 100565, 98684 Ilmenau, Germany

Resume : Microelectromechnical systems are covering a wide application range with varying designs from cantilevers/bridges up to membranes. Due to its mechanical hardness, high electrical stability and chemical inertness SiC is a preferable material for these devices. However, SiC grown on Si substrates is well characterized by high internal strain, which directly influences quality factor and resonant frequency and could significantly deform MEMS and interfere with their operating mode. Additionally, the residual stress changes during MEMS processing especially when free standing structures are fabricated. Another important feature is the thickness and real structure dependence of the elastic constants and the Young?s modulus. So the knowledge of the real elastic constants and residual stress values in SiC MEMS structures are of crucial importance. In this work, a self-consistent method using only a set of doubly clamped beams and cantilevers for the determination of the residual stress and the Young?s modulus of thin 3C-SiC(111) grown on Si(111) and 3C-SiC(100) grown on Si(100) will be demonstrated. The developed method allows the determination of the stress and the mechanical properties in a wide range of residual stress. Furthermore, the thickness dependence of the Young?s modulus was investigated. The obtained results will be analyzed and a model description of the size effect of the Young?s modulus will be presented.

L.P.14
13:30
Authors : W. Jatal1; U. Baumann2; H.O. Jacobs1; F. Schwierz3; J. Pezoldt1
Affiliations : 1 FG Nanotechnologie, Institut für Mikro- und Nanotechnologien MacroNano and Institut für Mikro- und Nanoelektronik, TU Ilmenau, Postfach 100565, 98684 Ilmenau, Germany; 2 IMMS gGmbH, Ehrenbergstr. 27, 98693 Ilmenau, Germany; 3 FG Festkörperelektronik, Institut für Mikro- und Nanotechnologien MacroNano and Institut für Mikro- und Nanoelektronik, TU Ilmenau, Postfach 100565, 98684 Ilmenau, Germany

Resume : We present the realization of D-mode and E-mode Tri-Gate high electron mobility transistors based on AlGaN/AlN/GaN heterostructures grown on silicon substrates using an ultrathin 3C-SiC transition layer, simplifying the efforts of growing the heterostructure compared to SiC and thick 3C-SiC substrates. The growth of AlGaN/GaN heterostructures on 3C-SiC (111)/Si (111) was performed using MOCVD. The 50 nm thick 3C-SiC (111) transition layer was realized by LPCVD preventing Ga-induced meltback etching and Si-outdiffusion in the subsequent MOCVD growth. All lithographic steps for the device fabrication were performed using electron beam lithography. Device isolation was achieved at the first by Mesa dry etching in a chlorine based plasma process. Ohmic contacts were realized using the standard Au/Ti/Al/Ti/Au metallization scheme. The gate was realized using Ni/Au. The threshold voltage of the normally-off devices strongly depends on the width of the fabricated fins. A transition from normally-on to normally-off operation occurred at 110 nm. A threshold voltage of 0.35 V was achieved at fin widths of 100 nm. The maximum oscillation frequency of the normally-off tri-gate devices was 30 GHz. The maximum oscillation frequency of planar HEMTs were 110 GHz demonstrating the applicability of the developed substrate device applications. The device characteristics and the influence of the device processing on the threshold voltage will be given.

L.P.15
13:30
Authors : W. Jatal1; I. Hörselmann2; H.O. Jacobs1; F. Schwierz2; J. Pezoldt1
Affiliations : 1 FG Nanotechnologie, Institut für Mikro- und Nanotechnologien MacroNano and Institut für Mikro- und Nanoelektronik, TU Ilmenau, Postfach 100565, 98684 Ilmenau, Germany 2 FG Festkörperelektronik, Institut für Mikro- und Nanotechnologien MacroNano and Institut für Mikro- und Nanoelektronik, TU Ilmenau, Postfach 100565, 98684 Ilmenau, Germany

Resume : Group III-Nitride research is strongly focused on optoelectronics, high frequency electronics and power electronics. The outstanding GaN material properties like wide band gap, high breakdown field and high saturation drift velocity combined with the high electron mobility of the AlGaN/GaN and related heterostructures makes this devices applicable for digital control applications in specific and professional applications. The application fields are different types of power plants, combustion engines, chemical reactors and other challanging applications. For the implementation of digital circuits AlGaN/GaN heterostructures grown on 3C-SiC(111)/Si(111) substrates were used. The AlGaN/GaN heterostructure was grown by MOCVD, whereas the 3C-SiC(111)/Si(111) pseudosubstrate was fabricated using LPCVD. The SiC layer was 50 nm thick. The circuits presented contain depletion-mode HEMTs. The load device in the logic circuits was made of the AlGaN/GaN HEMTs. In order to demonstrate the feasibility of digitial circuits using standard enhancement HEMT technology NAND and NOR circuits were designed and fabricated using a standard Au/Ti/Al/Ti and Au/Ni metallisation scheme for the ohmic and Schottky contacts of the HEMTs, respectively. In the presentation the logic function and the voltage transfer characteristics of the fabricated logic circuits will be demonstrated and analysed.

L.P.16
13:30
Authors : S. Popelka, P. Hazdra
Affiliations : Department of Microelectronics, Czech Technical University in Prague, Technická 2, CZ 16627, Prague 6, Czech Republic

Resume : Nowadays, Silicon Carbide (SiC) and Gallium Nitride (GaN) wide-bandgap semiconductors are competing who will displace silicon in high frequency and power switching applications. Both the materials are radiation hard, enabling production of devices for harsh environment. In this contribution, we compare radiation resistance of active power switches, based on both of these technologies. Devices under test were commercial N-channel 1700V 4H-SiC power MOSFETs and 200V GaN HEMTs produced by Wolfspeed and EPC, resp. Transistors were irradiated with 4.5 MeV electrons with doses ranging from 1 kGy to 2000 kGy. Electrical characteristics and electrically active defects were characterized in detail prior to and after the radiation exposure by I-V, C-V and DLTS measurements. Results show that already low doses of electrons (~1kGy) cause a significant threshold voltage decrease of SiC MOSFET due to embedding of the positive charge into the gate oxide. This also leads to an increase of zero gate voltage drain current beyond the limits of manufacturing specification for doses in range 5-20 kGy. On the other hand, other parameters like the ON-state resistance are nearly unchanged up to the dose of 20 kGy. When the electron dose reaches 200 kGy, the threshold voltage moves back close to its original value, however, the ON-state resistance increases and transconductance is lowered. This effect is connected with introduction of radiation defects into the low doped drift region. On the contrary, GaN power HEMTs exhibit significantly higher radiation resistance keeping their full functionality up to doses of 2000 kGy. This is caused by their lateral construction and higher doping level of their active regions.

L.P.17
13:30
Authors : Fabrizio Roccaforte 1, Marilena Vivona 1, Giuseppe Greco 1, Raffaella Lo Nigro 1, Filippo Giannazzo 1, Salvatore Di Franco 1, Corrado Bongiorno 1, Ferdinando Iucolano 2, Simone Rascunà 2, Alfonso Patti 2, Mario Saggio 2
Affiliations : 1 Consiglio Nazionale delle Ricerche ? Istituto per la Microelettronica e Microsistemi (CNR-IMM), Strada VIII, n. 5 ? Zona Industriale, 95121 Catania, Italy; 2 STMicroelectronics, Stradale Primosole 50, 95121 Catania, Italy;

Resume : Silicon carbide (SiC) and gallium nitride (GaN) are excellent materials for power electronics. However, many aspects of their technology deserve further investigations. For example, metal/semiconductor contacts (Schottky or Ohmic) to p-type SiC (or GaN) remain inherently a crucial issue, due to the wide band gap of the materials and to the high ionization energy of the dopants. In this context, studying the transport properties and the microstructure at metal/p-SiC (or GaN) interfaces is very important to optimize the device behavior. This work reports on Ti/Al-based contacts to p-type 4H-SiC and p-type GaN. In particular, the electrical and structural evolution of Ti/Al/W contacts to p-type 4H-SiC implanted regions was monitored using several techniques (I-V, XRD, AFM, TEM). The formation of Ohmic contacts was observed upon annealing above 1000°C, and discussed with the increase of the surface roughness and the formation of new phases (W(SiAl)2, TiC). The contacts were thermally stable for 100h at 400°C and can be useful for JBS and MOSFET technology. In the case of GaN, the properties of Al/Ti/p-GaN systems were monitored considering the impact of the thermal annealing. Temperature dependent electrical measurements on ?back-to-back? diodes allowed to determine a Schottky barrier height of 2.08 eV and 1.60 eV, for non-annealed and 800°C annealed contacts. The results were useful to explain and to optimize the behavior of normally-off HEMTs using a p-GaN gate.

L.P.18
13:30
Authors : Patrick Fiorenza1, Salvatore Di Franco1, Giuseppe Greco1, Marilena Vivona1, Filippo Giannazzo1, Alessia Frazzetto2, Alfio Guarnera2, Mario Saggio2, Ferdinando Iucolano2, Alfonso Patti2, Fabrizio Roccaforte1
Affiliations : 1 Consiglio Nazionale delle Ricerche - Istituto per la Microelettronica e Microsistemi (CNR-IMM), Strada VIII n. 5 - Zona Industriale, 95121 Catania, Italy 2 STMicroelectronics, Stradale Primosole n. 50 - Zona Industriale, 95121 Catania, Italy

Resume : Understanding the trapping phenomena at insulator/semiconductor interfaces is a fundamental issue for the development of reliable metal-oxide-semiconductor (MOS) based transistors on wide band gap materials (SiC and GaN) for power electronics applications. While different insulating materials can be used as gate dielectrics for 4H-SiC and GaN MOS-transistors, silicon oxide (SiO2) is the dielectric of choice, owing to the large band gap (~9eV), the large conduction band discontinuity (~3eV) and the high critical electric field (~15MV/cm). This work reports on the trapping phenomena at SiO2/4H-SiC and SiO2/2H-GaN interfaces in MOS-based devices, subjected to post deposition annealing (PDA) in N2O and in forming gas, respectively. The analyses of MOS capacitors and transistors allowed to separate the contributions of interface states and near interface traps (NITs) inside the insulator. In particular, it will be shown that although the PDA can reduce the interface traps in both systems (down to the 1011-1012cm-2eV-1 range), the presence of NITs is responsible for an anomalous behavior of the current conduction and threshold voltage instabilities. Time-resolved current and conductance measurements, performed in appropriate bias ranges, allowed to quantify the NITs (~1012cm-2), that are located inside the insulator at about 1-1.5 nm from the semiconductor interface. The impact of the observed trapping phenomena on the SiO2/SiC(GaN) transistor operation will be discussed.

L.P.19
13:30
Authors : C. Dalle, F. Dessenne, J-L Thobel
Affiliations : Institut d?Electronique, de Microélectronique et de Nanotechnologies, UMR CNRS 8520, Cité Scientifique, Avenue Poincaré, CS 60069, 59652Villeneuve d?Ascq cedex, France. E-mail: christophe.dalle@univ-lille1.fr

Resume : Usable solid state RF power sources remain a challenge at THz frequencies. The intrinsic GaN electron transport properties cause this material to be a candidate for THz RF power sources. We perform theoretical investigations on THz GaN transferred electron devices based on the electron accumulation layer and transit RF operating mode resulting from the negative differential mobility in the electron velocity/field characteristic. Two kinds of devices are presently considered. Firstly, the localized vertical (or mesa) structure in which the electron transport is mainly one-dimensional and the device operation remains quasi-electrostatic. Secondly, the distributed device which look like a multi-layered parallel plate waveguide in two dimension (2D). The RF operation relies on the propagation and amplification of a transverse electromagnetic wave along the semiconductor epitaxial layers behaving as a RF negative resistance medium. The electron motion takes place perpendicularly to the epitaxial layers. A time-domain physical numerical model performs the coupled solution of the Maxwell (quasi-electrostatic and electromagnetic) and macroscopic transport (energy-momentum) equations. It allows to investigate the device potential from all angles: space-time analysis of involves physical phenomena, DC and RF operation, device performance and structure optimisation, thermal and electronic limitations ? .All these purpose will be developed in our contribution.

L.P.20
13:30
Authors : Hilmi Ünlü
Affiliations : Istanbul Technical Univesity Department of Physics Maslak 34469 Istanbul TURKEY

Resume : Heterojunctions formed between SiC and III-Nitrides are extremely important for high power, broad bandwidth microwave applications. Heterobipolar transistors (HBTs) fabricated by using GaN (or AlGaN ternary) as emitter and SiC as base and collector regions are extremely desirable. The GaN (or AlGaN ternary) emitter allows high electron injection into SiC base while preventing the injection of holes into GaN (or AlGaN ternary), resulting in very high DC current gain. The most critical issue is the understanding the formation and determining the magnitude of interface steps (band offsets) and interface charges, polarization effects on the charge carrier transport across the heterointerface and performance of heterojunction bipolar and field effect transistors. The band offsets lead to extra degree of freedom in designing of layers and new opportunities and challenges in the making of electronic and optical devices since they influence the charge confinement in the narrow band gap channel and the current transport parallel to the interface in low dimensional quantum structures and emitter charge carrier injection across the interface to base and in turn current transport perpendicular to the interface and current fluctuations (1/f noise) in heterojunction bipolar transistors (HBTs). In this work we propose to investigate the SiC/GaN and SiC/AlGaN heteroemitter electronic band structure using the nearest neighbor (NN) non-orthogonal tight binding theory as a function of temperature, strain and alloy composition. The sp3 tight binding model considers the interactions between the sp3 hybrids at adjacent sites, with special consideration given to the overlapping of these hybrids such that it cannot be absorbed into a re-scaling. In the framework of this sp3 tight binding theory we are able to consider the full band structure of constituents and band offsets appearing in the valence band maximum and conduction band minimums at high symmetry points within the Brillouin zone as a function of interface strain, lattice temperature, external pressure and alloy composition in a self-consistent manner.

L.P.22
13:30
Authors : Antonio Capretti, Tomohiro Inaba, Takanori Kojima, Brandon Mitchell, Atsushi Koizumi, Tom Gregorkiewicz and Yasufumi Fujiwara
Affiliations : Van der Waals-Zeeman Institute, University of Amsterdam, Science Park 904, 1098 XH Amsterdam, The Netherlands; Division of Materials and Manufacturing Science, Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka 565-0871, Japan; Division of Materials and Manufacturing Science, Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka 565-0871, Japan; Department of Physics and Astronomy, University of Mt. Union, 1972 Clark Ave, Alliance, OH, 44601, USA; Division of Materials and Manufacturing Science, Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka 565-0871, Japan; Van der Waals-Zeeman Institute, University of Amsterdam, Science Park 904, 1098 XH Amsterdam, The Netherlands; Division of Materials and Manufacturing Science, Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka 565-0871, Japan;

Resume : Red luminescence from GaN is urgently needed by the display industry to complete the set of GaN-based light-emitting diodes (LEDs) in the visible. Unfortunately, red LEDs based on InxGa1-xN are strongly affected by phase separation and polarization induced internal electric fields, and therefore alternative solutions are required. Rare-earth doped GaN is becoming established as a powerful route to address this fundamental issue. In particular, Eu-doped GaN shows luminescence in the 600-650nm range, and efficient LEDs have been demonstrated with performances approaching those needed by industry. One primary limiting factor is still the relatively long radiative lifetime (~300 ?s) of the Eu ions. Here, we investigate a method for enhancing the spontaneous emission rate of Eu,O-codoped GaN grown by organometallic vapor phase epitaxy (OMVPE), by purposely tuning the photonic density of states. Specifically, we utilize a metamaterial approach, where the emission of Eu ions in GaN is controlled through the interaction with plasmonic and dielectric nanostructures. Theoretical considerations as well as a practical implementation is presented. Plasmonic and Mie-type resonances are designed into metamaterials based on Eu,O-codoped GaN, by using rigorous electromagnetic calculations. The validity of our approach is then experimentally verified using time-resolved photoluminescence measurements. Currently, we have experimentally obtained an enhancement of emission intensity of ~30%.

L.P.24
13:30
Authors : S. Alam(1),(2),(3), S. Suresh(2) ,X. li(1),(2), Y.El Gmili(2), Helge Haas(3), Miryam Elouneg- Jamroz(3), I C Robin(3), P. Voss(1),(2), J-P. Salvestrini(4), A. Ougazzaden(1),(2)
Affiliations : 1. Georgia Institute of Technology / GTL, 57070 Metz, France. 2. UMI 2958, Georgia Tech-CNRS, 57070 Metz, France. 3. CEA-LETI, Minatec Campus, F-38054 Grenoble, France. 4.University of Lorraine, LMOPS, EA4423, 57070 Metz, France

Resume : InGaN Multiple Quantum Well (MQW) based visible light emitting diodes (LEDs) and laser diodes have been commercially successful, however, for structures with higher In content in InGaN alloys like green LEDs and PIN solar cells, improvement, from InGaN architecture to p-contact performance, is still necessary. Realization of high quality low resistance ohmic p-contact layer is challenging due to quality of the p-GaN layer which highly depends on the growth temperature. To avoid degradation of the underlying InGaN layer, the p-GaN layer is thus often grown at lower temperature that can be as low as the one used for the InGaN layers growth [1,2]. Moreover, since the Mg dopant has an ionization energy as high as 200 meV the voltage drop in the metal/GaN junction is very high [3]. Besides selecting high work function metal for contact formation, heavy Mg doping in the p-GaN contact layer is required to achieve low resistance ohmic contact [4]. In this work, we report on the optimization of the p-GaN layer and p-contact for an InGaN/InGaN MQW LED that emits greenish blue light by using both optimized precursor CP2Mg flow rate and III/V ratio. Using MOVPE, p type GaN with moderate doping (Mg doping concentration of 7x1019 cm-3) and a 50 nm contact layer with high Mg doping concentration as high as 1.7x1020 cm-3 were grown on GaN templates at growth temperatures varying in the range 850-1000oC. Surface characteristics were studied by scanning electron microscopy, hole concentration was measured using Hall effect technique and the average Mg doping in the p-GaN layer was studied by Secondary Ion Mass Spectroscopy (SIMS). A multilayer Pd/Ag/Ni/Au metal contact has been deposited on this p-GaN and studied using TLM technique. The possible carrier transport mechanism was investigated and the effect of p-GaN growth temperature on ohmic characteristics is presented. Optimization of both grown layers and p-contact processing led to low resistance contact with specific contact resistivity of 8.9x10-4 Ω-cm2.This optimization of p-GaN is important to realize high efficiency green LEDs and solar cells. [1] L. W. Wu, S. J. Chang, Y. K. Su, R. W. Chang, Y. P. Hsu, C. H. Kuo, W. C. Lai, T. C. Wen and J. M. Sheu, Solid-State Electron. 47, 2027 (2003) [2] H. Waki, A. Fujika and M. Oshima, Appl. Phys. Lett. 78, 2899 (2001) [3] H. Obloh, K. H. Bachem, U. Kaufmann, M. Kunzer, M. Maier, A. Ramakrishnan and P. Schlotter. Self-compensation in Mg doped p-type GaN grown by MOCVD. Journal of Crystal Growth, 195(1):270-273, 1998. [4] Junjun Wang, Yumin Gao, Saiful Alam, and Ferdinand Scholz. Mg doping of 3D semipolarInGaN/GaN-based light emitting diodes.Phys. Status Solidi A, 1–5 (2014).

L.P.25
13:30
Authors : M. Baranowski1, Lucja Marona2, L. Janicki1, D. Schiavon2 ,M. Welna1 ,R. Kudrawiec 1, Misiewicz1
Affiliations : 1 Department of Experimental Physics, Wroclaw University of Technology, Wybrzeze Wyspianskiego 27, 50-370 Wroc?aw, Poland 2 Institute of High Pressure Physics, ?Unipress?, Sokolowska 29/37, 01-142 Warsaw, Poland

Resume : InGaN/GaN quantum wells (QWs) are extensively used as active layers of light emitting devices. The emission from those structures is affected by inhomogeneity (in composition and morphology) and by the spontaneous and piezoelectric polarization along the usual growth direction (c-axis) of InGaN/GaN QW. It is well known that the internal electric field drastically reduce the optical transition strange. On the other hand impact of electric filed on the optical transition broadening has not been extensively study so far. In this work we present results of our photoluminescence (PL) and electroreflectance (ER) study of c-plane InGaN/GaN QW polarized by external electric field. Our investigation shows that the reduction of internal electric field by externally applied voltage reduce the PL and ER transition broadening. This indicates that the built-in electric field enhances broadening of optical transition in InGaN/GaN QW. Our experimental observations can be explained by the local electric field changes due to indium and QW width fluctuations. This hypothesis is supported by theoretical modelling within the model of a ?random quantum well? [J. Phys.: Cond. Mater. 22, 485801 (2010)]. Our results indicate that screening of built-in field (for example by an intentional doping) can be a promising way to improve the homogeneity of InGaN/GaN QW emission.

L.P.26
13:30
Authors : Iuliana Caraman1, Igor Evtodiev2,3, Dumitru Untila2,3, Ga?in Petru2, Mihail Caraman2
Affiliations : 1 Engineering Department, ?Vasile Alecsandri? University of Bacau, Calea Marasesti, 157, RO-600115, Bacau, Romania; 2 Faculty of Physics and Engineering, Moldova State University, A. Mateevici, 60, MD-2009, Chisinau, Republic of Moldova; 3 Institute of the Electronic Engineering and Nanotechnologies, Academy of Sciences of Moldova, Academiei, 3/3, MD-2028, Chisinau, Republic of Moldova

Resume : The Ga2O3-Ga2S3 composite was obtained by heat treatment of Ga2S3 compound at 1073K. As starting material were used Ga2S3 single crystals grown by the chemical transport in an I2 atmosphere and Ga2S3 single crystals synthetized from Ga (5N) and Se (5N) primary components. The Ga2O3 crystallite formation is evidenced by the presence of X-ray diffraction lines (?CuK? = 1.5406Å) of the (4 1 0) and (1 1 1) planes observed at 2? = 30.4? and 35.3?, respectively. After 6 hours of treatment the XRD lines localized at 2? = 27.78? and 29.70? characteristic for ?-Ga2S3 crystals disappear. The band gap at 300K determined from the edge of diffuse reflectance spectrum of Ga2O3 layer obtained by heat treatment of Ga2S3 single crystals represents 4.78 eV, while for the material obtained on the basis of the compound grown by synthesis is 4.68 eV. The obtained Ga2O3 is a material with intense luminescence in the blue-violet region of the spectrum. From the analysis of the temperature dependence of photoluminescence intensity thermal activation energy of 0.48 eV was determined. The recombination energy levels were determined by the PL band decomposition in Gauss curves. At the same time with the recombination levels in the Ga2O3 oxide 4 capture levels which energies have been determined from the structure of the thermally stimulated luminescence.

L.P.28
13:30
Authors : Mehmet Aras, Sümeyra Güler-Kiliç, Çetin Kiliç
Affiliations : Department of Physics, Gebze Technical University, Gebze, Kocaeli 41400, Turkey Corresponding author: m.aras@gtu.edu.tr

Resume : Zinc oxide (ZnO), being a wide-band-gap semiconductor, has potential use in transparent power electronics. The doping of 1D ZnO nanostructures, e.g. nanowires, has attracted a growing interest in recent years, driven by not only scientific curiosity but also prospective device applications. Here, a computational modeling methodology is utilized for studying Bi-doped ZnO nanowires, which is based on the band-structure, total-energy and defect calculations performed within the framework of the density functional theory (DFT) combined with the Hubbard U. Various (bulk or surface) doping configurations are compared (in regard to structure and stability), and characterization of doped nanowires is performed (in regard to the location and charge-state of the dopant). It is revealed that the Bi atoms are mostly substituted into the Zn sites on the nanowire surface, and Bi in ZnO electrically acts as a donor[1]. Moreover, the results of noncollinear DFT+U calculations indicate that surface doping of ZnO nanowires with Bi leads, via spin-orbit coupling, to the spin-dependent modification of the band structure where exchange or Rashba-type splitting could occur. Acknowledgement: Work supported by TUBITAK through Grant No. 114F155. [1] K?l?ç Ç, Aras M, Güler-K?l?ç S 2016, "Computational studies of bismuth-doped zinc oxide nanowires" in Low Dimensional and Nanostructured Materials and Devices, eds H Ünlü, NJM Horing, J Dabrowski, Springer International Publishing, Switzerland, ch. 16.

L.P.29
13:30
Authors : Alexander Shkurmanov (1), Chris Sturm (1), Guy Feuillet (2), Florian Tendille (3), Philippe De Mierry (3) and Marius Grundmann (1)
Affiliations : 1 - Universität Leipzig, Inst. for Exp. Phys. II, Linnéstr. 5, 04103, Leipzig, Germany 2 - CEA/LETI 17, rue des Martyrs, 38054 Grenoble Cedex 9, France 3 - CNRS-CRHEA, rue Bernard Grégory, 06560 Valbonne, France

Resume : Nanowires (NWs) are building blocks for a wide range of composite devices e.g. light emitters, sensors and resonators. For industrial applications, the integration of the NWs growth process into the CMOS technology is desired, which means that NWs growth should be done at temperatures lower than 500°C [1]. Additionally, quantum confinement effects appear in NWs with diameter less than 10 nm which makes them interesting for quantum effects researches such as topological qubits [2]. However, the fabrication of such thin NWs is quite challenging and there are only few reports on that. Here we present the growth of ultrathin ZnO nanowires with an aspect ratio of about 70 at CMOS compatible temperature by pulsed laser deposition (PLD). By using Al and Ga doped ZnO seed layers we were able to control diameter, density and growth temperatures of the NWs. By doings so we were able to change the diameter of the NWs by two orders of magnitude from d?600 nm down to d?10nm. At the same time, the growth temperature can be varied in the range from 950°C down to 400°C. By using pre-structured substrates we were able to change the orientation of the NWs, i.e. to obtain a tilted NW growth, which might be interesting for the fabrication of asymmetric hyperbolic media [3]. [1] S. Sedky et al., IEEE T Electron Dev. 48, 377 (2001). [2] S. Nadj-Perge et al, Nature 468, 1084 (2010). [3] I. S. Nefedov et al. Scientific Report 3, 1 (2013).

L.P.30
13:30
Authors : N. Korsunska, I. Markevich, M. Baran, I. Vorona, L. Borkovska, S. Lavorik, Yu. Polischuk
Affiliations : V. Lashkaryov Institute of Semiconductor Physics of the National Academy of Sciences of Ukraine, 45 Nauky pr., 03028 Kyiv, Ukraine

Resume : The Mn-doped ZnO ceramics with Mn content of 0.01-5% sintered at temperatures in the range of 700-1150 oC was investigated with diffuse reflectance, EPR, photoluminescence and XRD methods. In the diffuse reflectance spectra, a broad structureless band in the range of 300-550 nm was observed. This band enhanced as the Mn content increased. The XRD study did not reveal the presence of spinel inclusions in the ceramics with Mn content below 1%. In the EPR spectra of the ceramics with Mn content of 1% and larger, a broad signal appeared in addition to the narrow lines caused by isolated ions Mn2+ in ZnO. It is shown that this signal is not connected with spinel and can be ascribed to highly doped ZnO regions (obviously solid solution regions). This testifies to inhomogeneous Mn distribution in ZnO. The mechanism of the absence of Mn-related luminescence band and the quenching of total luminescence intensity is proposed.

L.P.31
13:30
Authors : A.A. Tikhii, V.A. Gritskikh, S.V. Kara-Murza, N.V. Korchikova, Yu.M. Nikolaenko, Yu.I. Zhikhareva, I.V. Zhikharev
Affiliations : Donetsk Institute for Physics and Engineering named after O.O. Galkin, 83114 Donetsk, Ukraine; Lugansk Taras Shevchenko State University, 91011 Lugansk, Ukraine; Lugansk Taras Shevchenko State University, 91011 Lugansk, Ukraine; Lugansk Taras Shevchenko State University, 91011 Lugansk, Ukraine; Donetsk Institute for Physics and Engineering named after O.O. Galkin, 83114 Donetsk, Ukraine; State University of Telecommunications, 03680 Kyiv, Ukraine; Donetsk Institute for Physics and Engineering named after O.O. Galkin, 83114 Donetsk, Ukraine

Resume : The results of the ellipsometric studies of In2O3 films produced by dc-magnetron sputtering [1] on the substrate of Al2O3 [012] are presented. Films deposition time was 1 hour, current - 50 mA, voltage - 300 V, atmosphere ? Ar/O2. The behavior of the refractive index and thickness of the films deposited on the substrates with different temperatures (t=20?600 °C) was investigated by methods of multi-angle reflection ellipsometry at 632.8 nm wavelength. Two models of film coating used for the interpretation of the results of ellipsometric measurements. The first model is (t>200 °C): homogeneous transparent layer on the surface of an isotropic transparent substrate. The second model is (t< 200 °C): the layer with linearly increasing refractive index. Such model is based on the increase in the surface temperature of the growing film. The surface roughness was taken into account by adding the transition layer with a linearly decreasing refractive index. It is founded that the thickness of the film increases regularly from 440 to 550 nm at a substrate temperature is lowered. The thickness of the transition layer increases from 20 to 80 nm. The refractive index of the base layer of the films deposited on the "hot" substrate is about 2, and the films deposited on the "cold" substrate characterize by increase refractive index from 1.9 to 2 in in the direction ?substrate to surface?. [1] Yu.M. Nikolaenko, A.B. Mukhin, V.A. Chaika, V.V. Burkhovetskii, Tech. Phys., 55, 8, 1189 (2010).

L.P.32
13:30
Authors : Chih-Ya Tsai, Hou-Ren Chen, Kuei-Huei Lin, Yia-Chung Chang, Wen-Hsuan Kuan, Wen-Feng Hsieh
Affiliations : Research Center for Applied Sciences, Academic Sinica, Taipei 11529, Taiwan; Department of Photonics and Institute of Electro-Optical Engineering, National Chiao Tung University, Hsinchu 300, Taiwan; Department of Applied Physics and Chemistry, University of Taipei, Taipei 100, Taiwan; Research Center for Applied Sciences, Academic Sinica, Taipei 11529, Taiwan; Department of Applied Physics and Chemistry, University of Taipei, Taipei 100, Taiwan; Department of Photonics and Institute of Electro-Optical Engineering, National Chiao Tung University, Hsinchu 300, Taiwan

Resume : ZnO/(Mg, Zn)O (Mg = 0.1, and 0.2) multiple quantum wells (MQWs) were fabricated on the m-Al2O3 substrate by two-step growth using m-ZnO and m-Zn0.9Mg0.1O as the buffer layers, which reduces the extra domain and surface roughness but increases the basal-plane stacking faults (BSF) density. The BSF embedded within an m-plane wurtzite QW can be viewed as a quantum wire (BSF-QWR) aligned along the [1 1 -2 0] direction and perpendicular to the c-axis. Therefore, 2D confinement of BSF-QWR exciton (BSF-QWR-EX) encounters larger quantum confinement than the near-band edge (NBE) excitons with 1D confinement. Furthermore, remarkably reduced coupling of free excitons with A1 longitudinal optical phonons is closely correlated to the increasing exciton binding energy but the enhanced coupling of E2-low phonons is a result of increasing interaction with the interface phonons with decreasing well width. NBE and BSF-QWR-EX emissions have the degree of polarization (DOP) of about 90% and 50% at 13 K and room temperature (RT), respectively. For Zn0.9Mg0.1O QWs, the energy difference ?E of C- and A-excitons is 28 and 29 meV for 13 K and RT, respectively. However, for Zn0.8Mg0.2O QW, the A- and C-exciton emissions are well separated at higher temperatures (>100 K) but merged together at lower temperatures (< 100 K). The larger strain in higher Mg dopant results in the broader NBE and BSF-QWR-EX emissions, leading to the difficulty in separating the A- and C-excitons at lower temperatures.

L.P.33
13:30
Authors : Chih-Ya Tsai, Hou-Ren Chen, Kuei-Huei Lin, Yia-Chung Chang, Wen-Feng Hsieh
Affiliations : Research Center for Applied Sciences, Academic Sinica, Taipei 11529, Taiwan; Department of Photonics and Institute of Electro-Optical Engineering, National Chiao Tung University, Hsinchu 300, Taiwan; Department of Applied Physics and Chemistry, University of Taipei, Taipei 100, Taiwan; Research Center for Applied Sciences, Academic Sinica, Taipei 11529, Taiwan; Department of Photonics and Institute of Electro-Optical Engineering, National Chiao Tung University, Hsinchu 300, Taiwan

Resume : ZnMgO/ZnO heterojunction attracts a great amount of attention for realizing electronic and/or optical devices, because the band gap can be widen by introducing MgO component. In addition, in order to eliminate the quantum confined Stark effect which reduces the exciton binding energies and limits the optical performance, the growth of nonpolar ZnMgO film with the polar c-direction of ZnO lying on the growth plane is highly demanded. Here, we used pulsed laser deposition and two ZnMgO targets (8% and 15% Mg contents) to realize m-ZnMgO films with various Mg dopants by controlling O2 ambient. From the photoluminescence spectrum, the ZnMgO film with the largest band gap of 3.783eV at 20K, corresponding to ~22% Mg content, grown under high vacuum (10^-7 torr). The alloy fluctuation by Mg dopant in ZnO causes spectral width broadening of near-band-edge emission. Determined from the temperature dependent PL, the coupling strengths of exciton with the E2-low, A1-longitudinal optical (LO) and E1-LO phonons increases as increasing Mg content, and the exciton binding energy decreases with increasing Mg dopant that were caused by the disorders. Furthermore, we didn?t observe extra domain and phase separation in the X-ray diffraction even for 22% Mg content. From Raman spectrum, both E2-high and E2-low phonons show blue-shift as increasing Mg centration, which is due to the strain effect (c-axis compress strained) and Mg substituting Zn to decrease reduced mass of the oscillator, respectively.

L.P.34
13:30
Authors : J. Pilo, I. Ornelas, E. Carvajal, M. Cruz?Irisson
Affiliations : Instituto Politécnico Nacional. Escuela Superior de Ingeniería Mecánica y Eléctrica?Culhuacán

Resume : The develop of spintronic devices are the most promising and interesting way to improve the conventional electronics: allows to diminish dimensions optimizing the energy requirement. Besides, perovskites stand out among the materials that could be used in those devices, because their variety also include combinations of optical, conductive, electronic or magnetic properties, getting the possibility to include some of those as part of such development. The high Curie temperature (Tc~410-450 K) and half-metallicity are characteristics of the bulk Sr2FeMoO6 (SFMO) double perovskite, as well as the contrasting electric and magnetic behaviors distinguish SrFeO3 bulk single perovskite from SrMoO3 bulk perovskite. Because of the high spin polarization shown by the bulk SFMO this and their related materials are excellent candidates to be included in the development of spintronic devices; additionally, bulk SFMO exhibits a magnetoresistive behavior. After the way manganites are used to build up spin valves, in this work were modeled and geometrically optimized thin segregated slabs of each perovskite compound (single or double), to contrast their calculated physical properties against the corresponding experimental results on thin films and the bulk materials. Also, the physical properties of interacting slab pairs were calculated in this comparative theoretical study. In the density functional theory scheme and the local density approximation, calculations were made using the Ceperley?Alder and Perdew?Zunger (CA-PZ) functional, as well as the Hubbard parameter (LDA+U, UFe = 2.5 eV, UMo = 2.0 eV), as implemented in the CASTEP code. The analysis was made on the basis of electronic band structures, densities of states and charge and magnetic moments distributions for each modeled system. Acknowledgements: This work was partially supported by COFAA and the projects IPN?SIP?2016?1770 and 252749 and 257139 from CONACYT. J. Pilo and I. Ornelas want to acknowledge the graduate fellowship from CONACYT.

L.P.35
13:30
Authors : Ching-Hsiang Chan, Shang-Hsuan Wu, Ching-Tarng Liang, Yia-Chung Chang
Affiliations : Academia Sinica

Resume : Here we provide a comprehensive study of ZnO/Ag Schottky barrier. We carried out systematic studies based on photoluminescence (PL), Hall and photoconductivity (PC) measurements for ZnO thin film incorporated with the Au nano-islands (AuNIs). All experimental results are consistent with the general features predicted by first principles calculations. We have illustrated that photoexcited hot carrier injection can occur at the ZnO/AuNIs interfaces if the photoexcited carriers can overcome the Schottky barrier. The hot carrier injection leads to an order of magnitude enhancement in both the PL and PC. Although in most of previous reports, PL enhancement of near band edge emission of ZnO with various embedded metals has been attributed to localized surface plasmons of the metal, our results provide a better understanding of the hot-carrier transfer mechanisms in such a Schottky diode. In order to identify this mechanism for the photo-induced charge transfer effects in ZnO/Au hetero-junction systems. We also investigate the visible to UV up-conversion process of internal photo-emission from a ZnO/Au Schottky contact, especially when a forward-bias is applied across the interface. Under this circumstance, there will be enough electrons and holes for increasing the carrier recombination probability. Quantitative comparison between theoretical calculations and experimental measurements for the amount of hot carrier injection under various excitation energies has been established.

L.P.37
13:30
Authors : V. Khranovskyy, I. Shtepliuk and R. Yakimova
Affiliations : Linköping University, Department of Physics, Chemistry, and Biology (IFM), 583 81, Linköping, Sweden

Resume : Among newly emerged materials gallium oxide (Ga2O3) is the most perspective with plenty of potential applications in opto- and power electronics, sensing technologies, etc. We have fabricated thin Ga2O3 films by atmospheric pressure metalorganic chemical vapor deposition (APMOCVD) in a temperature range of 250 ? 500 °C, followed by post-growth annealing (500 ? 900 °C) and investigation of their structural and photoluminescence (PL) properties. As grown films were found to be quasi-amorphous, representing a mixture of Ga2O3 nanocrystals of ?- and ?-crystal modifications. Respective annealing of the as-grown samples resulted in a dominance of ?-Ga2O3 phase (500 °C for 2 hours in air) or ?-Ga2O3 phase (900 °C for 2hours in air), as evidenced by XRD. PL of as grown films were observed to be complex, consisting of overlapping peaks at 394, 409, 420, 437 and 490 nm. PL of the annealed samples demonstrated the annealing at 500 °C reduces the total PL intensity, while annealing at 900 °C results in a single intense peak at 490 nm. Analysis of the energetic position of the peaks indicated their origin is related to gallium vacancies (VGa), oxygen vacancies (VO) and their complexes ? Ga-O vacancy pairs. The results obtained are of importance for understanding the synthesis and fundamental properties of high quality Ga2O3 films and nanostructures with potential impact in the forthcoming optoelectronics and other power & energy related applications.

L.P.39
13:30
Authors : A.I. Popov (1), O.I. Aksimentyeva (2) , H. Klym (3), I. Karbovnyk (2), E. Elsts (1), V. P. Savchyn (2)
Affiliations : (1) Institute of Solid State Physics, University of Latvia, LV-1063, Riga, Latvia (2) Ivan Franko National University of Lviv, 79005, Lviv, Ukraine, (3) Lviv Polytechnic National University, Lviv, 79013, Ukraine

Resume : As an ABO3-like perovskite oxide, Barium zirconate (BaZrO3) also has a cubic perovskite-type structure and large band gap energy (5.3 eV). Due to its singular physical properties, both pure and doped BaZrO3 are attractive for applications in the electroceramics industry, special refractory as well as hybrid luminescent materials. In current work, cathodoluminescence properties of the acceptor-doped BaZrO3, namely Ba(Zr0.94Y0.06)O3-? , have been studied between 78-300 K and compared at the same condition (10 keV electron excitation) with two other materials: well-studied perovskite SrTiO3 (Eg = 3.3 eV) and pure and indium and thallium - doped potassium bromide KBr. In last case, the F color center efficiency was also studied as function of electron dose and impurity doping concentration.

L.P.40
13:30
Authors : Andrzej Lusakowski 1, Wojciech Szuszkiewicz 2,1
Affiliations : 1 Institute of Physics PAS, al. Lotników 32/46, 02-668 Warszawa, Poland 2 Faculty of Mathematics and Natural Sciences, University of Rzeszów, Prof. Stanislawa Pigonia 1, 35-310 Rzeszów, Poland

Resume : ZnO is well known and intensively investigated wide-gap semiconductor with the wurtzite type crystal structure. Both the transition-metal doped thin layers and bulk crystals of this compound or diluted magnetic semiconductors (DMS) grown on the basis of ZnO attract still a lot of attention due to continuous interest in materials for possible future applications in the area of spintronics. The aim of the present work was the theoretical analysis of the magnetic anisotropy energy (MAE) for highly Co-doped ZnO crystal. Density functional theory (DFT) calculations of the magnetic anisotropy energy were performed using OpenMX package with fully relativistic pseudopotentials. The influence of the band spin-orbit interaction and of the magnetic ion?s surrounding on magnetic anisotropy was demonstrated and analyzed. The calculations showed that the magnetic anisotropy in the system under interest is mainly of the single ion anisotropy type caused by Co ions. The magnetic anisotropy energy is extremely sensitive to the lattice geometry and to the nearest neighbourhood of Co ion. The strong dependence of this energy on parameters describing crystal lattice and the magnetic ion?s surrounding suggests that the microscopic (chemical) disorder should be taken into account in the analysis of magnetic anisotropy properties in real crystals. This work was supported in part by the Grant No UMO-2011/03/B/ST3/02664 from the National Science Centre (Poland) and by PL-Grid Infrastructure.

L.P.41
13:30
Authors : O.M.Sydor, O.A.Sydor
Affiliations : Chernivtsi Department of the Institute of Materials Science Problems, the National Academy of Sciences of Ukraine, Iryna Vilde St., 5, Chernivtsi, 58001, Ukraine

Resume : InSe is a direct-band gap III-VI semiconductor with layered crystal structure. High photosensitivity and radiation hardness make this material extremely attractive for photoconverters (PCs). Besides, for layered crystals an exclusive low-cost and simple technology of the preparation of optical contact between atomically perfect exfoliated plates is available. Recent studies revealed that GaSe-InSe Van der Waals (vdW) heterojunctions exhibit an efficiency of 1.1 %. However, currently available reports for vdW homojunction (HJ) based on InSe show very low photoelectrical parameters. Our device is based on a 90 µm-thick p-InSe substrate covered with a 30 µm-thick n-InSe plate. Ohmic contacts to the p- and n-type semiconductors were prepared by thermal evaporation of Ni and In, respectively. Temperature dependences of I-U and C-U characteristics, impedance and photoresponse spectra, dependences of Uoc(Jsc) on light intensity as well as the mechanisms of current transfer are investigated. The parameters of the best PCs are as follows: Kref=2×10^4 (for U=0.7 V), n=1.4, Uoc=0.59 V, Jsc=6.2 mA/cm^2, ?=1 µs and FF=0.78. Their monochromatic volt sensitivity Su and current sensitivity Si are equal to 1.3×10^4 V/W and 0.28 A/W, respectively. Because of the optimization of manufacturing technology of n-p-InSe vdW HJ the efficiency of 2.9% and exceptional stability (parameters of PCs measured in 12 months keep the same values) were achieved.

L.P.42
13:30
Authors : Cosmin Romanitan, Raluca Gavrila, Mihai Danila
Affiliations : National Institute for R&D in Microtechnologies 72996, Bucharest, Romania

Resume : The unique properties of III-nitride based compounds compared to other semiconductor materials (e.g. wide direct band gap, high breakdown electric field, chemical, physical and thermal stability) made them a legitimate opportunity for modern electronic and optoelectronic devices. Accordingly, intensive efforts were devoted to explore their feasibility and to bring to market the environmentally friendly GaN-based devices, including high-power and high-frequency electronics, photodetectors, or light emitting diodes (LEDs). The heteroepitaxial growth of GaN films on foreign substrates, with different lattice parameters lead to inherent issues related to the heterointerfacial threading dislocations (TDs). We report a comparative analysis of the TDs density in two GaN epilayers on sapphire with different thicknesses, using the nondestructive characterization methods. Two methods were exploit to probe the threading dislocations densities in two GaN epitaxial films on sapphire with different thicknesses, an imagining technique ? AFM ? and also a statistic reliable technique ? XRD. However, because the density of these pits as detected by AFM is lower than the true dislocation density, different X-ray based techniques have been explored for the accurate assessment of TD densities and for separating of contribution from strain and size broadening we used the refined Williamson Hall plots? analysis.

L.P.43
13:30
Authors : Hyeon-Gu Jeon, In-Young Jung, MinHyuk Choi(a,b), Byung Sung O(b), Chang Soo Kim(a*)
Affiliations : a Division of Industrial Metrology, Korea Research Institute of Standards and Science (KRISS), Daejeon, 305-340, Korea; b Department of Physics, Chungnam National University, Daejeon, 305-764, Korea; (* Correspondence email: kimcs@kriss.re.kr)

Resume : High quality single-crystal sapphire wafer is used as substrate for GaN based electron devices. The surface quality of sapphire wafer affects the performance of the devices as well as the production yield. In wafer manufacturing industry, surface defects of sapphire wafer are usually inspected by optical microscopy, AFM, TEM, and XRD, but the techniques are becoming less efficient due to the limited measuring area of the methods. Unlike the conventional techniques, X-ray topography (XRT) technique enables us to investigate not only the defects such as dislocations and surface damage but also the distributions of the defects in a whole wafer. In the present work, a series of experiments has been conducted for the assessment of residual surface damage of 4-inch sapphire wafer by means of X-ray transmission topography. To evaluate the residual surface damage quantitatively, two features were used, one is the magnitude of the diffuse scattering in a reciprocal space map (RSM) measured by high-resolution XRD, and the other is the degree of image contrast of an X-ray topograph. The magnitude of diffuse scattering was determined by the FWTTM (full width at one ten thousandth maximum) of the rocking curve of the RSM, and the FWTTM value represents the extent of relative residual surface damage. In addition, the degree of image contrast of the X-ray topograph was determined by a grayscale level ranging from 0 to 255. We found that the results between the FWTTM value and the grayscale level are consistent with each other. In conclusion, XRT allows us to distinguish the residual surface damage area from the damage free area, and to quantify residual surface damage by a grayscale contrast level of X-ray topograph for large diameter sapphire wafer.

L.P.45
13:30
Authors : S. Latrach1,2, E. Frayssinet 2, N. Defrance 3, S. Chénot 2, Y. Cordier 2, C. Gaquière 3, and H. Maaref 1
Affiliations : 1-Laboratoire de Micro-Optoélectroniques et Nanostructures, Université de Monastir, Faculté des Sciences de Monastir, Avenue de l?environnement 5019 Monastir, Tunisie. 2-Centre de Recherche sur l?Hétéro-Epitaxie et ses Applications (CRHEA-CNRS), Rue Bernard Grégory, 06560 Valbonne Sophia Antipolis, France. 3-Institut d?Electronique, de Microélectronique et Nanotechnologies (IEMN), Cité Scientifique, 59650 Villeneuve d?Ascq, France.

Resume : In spite of the excellent results shown in recent years, GaN based device performance are still limited by interface traps. Nowadays, many techniques are widely used for the characterization of trap states in HEMTs. In our study, capacitance voltage (C-V) and frequency dependent conductance measurements are performed in order to investigate the interface states in InAlN/GaN HEMTs on Silicon. The C-V characteristics of InAlN/GaN heterostructure were measured from 5 KHz to 1 MHz on a Schottky diode with a circular gate contact featuring a diameter of 100µm. Furthermore, bias dependent measurements were carried out in the vicinity of threshold voltage to determine the interface traps density Dit, traps time constant ?it and associated activation energy ET. The interface traps density Dit extracted using the High-Low frequency C-V method (HF-LF) ranges around 3.3 x1013eV-1cm-2; When the frequency dependent conductance method is implemented, Dit values become close to (5.4-0.5) x1013 eV-1cm-2 associated with a time constant value (0.46- 17.6)µs in ET= 0.32-0.42. Accordingly, the results of the High-Low frequency method are in good agreement with results obtained through the frequency dependent conductance method. We have found that compared with AlGaN/GaN, the two methods produce larger trap densities for the AlInN/GaN system and give additionally valuable information about traps behavior in our structures.

L.P.46
13:30
Authors : A. Minj1,2, D. Skuridina3, D. Cavalcoli2, A. Cros4, P. Vogt3, M. Kneissl3, H. Ben Ammar1, P. Ruterana1
Affiliations : 1) Centre de Recherche sur les Ions, les Matériaux et la Photonique UMR 6252, CNRS ENSICAEN UCBN CEA, 6 Boulevard du Maréchal Juin, 14050 Caen Cedex, France 2) Department of Physics and Astronomy, University of Bologna, Viale C Berti Pichat 6/II 40127 Bologna, Italy 3) Institut für Festkörperphysik, Technische Universität Berlin, Hardenbergstr. 36, D-10623 Berlin, Germany 4) Materials Science Institute, University of Valencia, P.O. Box 22085, E46071 Valencia, Spain

Resume : Due to strong polarization fields, AlInN/GaN heterostructures allow the formation of two-dimensional electron gas (2DEG) without the need of intentional doping. This heterostructure, originally developed for high-speed power devices, has recently experienced a renewed interest due to its wide and tunable band gap. In addition, it exhibits a high sensitivity of 2DEG concentration to the surface that can be applied in reduction based devices, solar blind UV detectors and molecule detectors. The surface structural, electronic and electrical properties of the AlInN/GaN heterostructures are under intensive investigations worldwide. In this work, surface termination, atomic arrangement, electronic and electrical properties of the (0001) surface and V-defect facets have been experimentally analyzed using various surface sensitive techniques including spectroscopy and microscopy (X-ray photospectroscopy, Scanning tunneling microscopy, Kelvin probe force microsocpy). Moreover, the effect of sub-band gap (of the barrier layer) illumination on contact potential difference (VCPD) and the role of oxygen chemisorption on fermi-level height have been studied.

L.P.47
13:30
Authors : S. Soltani(1), M. Bouzidi(1), A. Toure (1), M. Gerhard (2), I. Halidou (1), Z. Chine (1), B. EL Jani (1), M. K. Shakfa (2)
Affiliations : (1) Unité de recherche sur les Hétéro-Epitaxies et Applications (URHEA), 5000 Monastir, Tunisia (2) Department of Physics and Material Sciences Center, Philipps-University of Marburg, Renthof 5, 35032 Marburg, Germany.

Resume : GaN and related alloys such as AlGaN have attracted a great deal of attention due to their potential for wide-band gap optoelectronic applications. In the present work, AlGaN/GaN epitaxial films have been grown on SiN surface-treated sapphire substrate by metal organic vapor phase epitaxy (MOVPE) under atmospheric-pressure. The Al content in the studied samples is varied between 3% and 15% though the change of the flow rate of trimethylaluminum (TMA) from 12 µmol/min to 32 µmol/min. The optical properties and carrier dynamics of the AlGaN/GaN epitaxial films are investigated by means of time-resolved Photoluminescence (TR-PL). Low temperature measurements show that the PL emission peak broadens and shifts to higher energies when the TMA flow rate is increased. Simultaneously, the PL decay time increases with increasing Al contents in the studied films. These observations can be attributed to the carrier localization effects in band tail states due to compositional fluctuations in the AlGaN layers. Remarkably, the PL spectrum of the epitaxial film with a relatively high Al content of 15 % reveals several peaks. In order to find out about the origin of these features, temperature-dependent PL measurements are performed in the range from 25 K to 300 K. Keywords: AlGaN, Metal organic vapor phase epitaxy, Photoluminescence, time-resolved photoluminescence.

L.P.48
13:30
Authors : Q. Li, M.P. Chauvat, A. Minj, P. Ruterana
Affiliations : Centre de Recherche sur les Ions, les Matériaux et la Photonique UMR 6252, CNRS ENSICAEN UCBN CEA, 6 Boulevard du Maréchal Juin, 14050 Caen Cedex, France

Resume : InxGa1-xN epitaxial layers with high indium composition may find applications in optoelectronics up to near-infrared, as well for photovoltaics. Indeed, variation of the indium composition allows tuning the bandgap from 0.6 to 3.4 eV. However, because of the immiscibility of alloy constituents, may take place chemically induced phenomena, such as phase separation, ordering and compositional pulling, resulting in complex defect microstructures that may critically depend on the growth conditions. Line and extended defects, such as threading dislocations (TDs), can induce local indium clustering. On the other hand, compositional pulling is a mesoscale phenomenon, due to the interfacial misfit produces the compressive strain, which impedes incorporation of the larger indium atoms, causing a gradually increasing indium concentration with increasing layer thickness. We have investigated InGaN layers grown by metalorganic vapour phase epitaxy (MOCVD) as well as molecular beam epitaxy (MBE). For the two growth techniques, we determine the specific defects that form versus the indium content and the layers thickness, the aim is to understand the strain relaxation mechanisms in order to assist in the growth of best crystalline quality layers for device applications.

L.P.49
13:30
Authors : ?. Janicki1, W. Linhart1, M. Siekacz2, G. Cywi?ski2, C. Skierbiszewski2,3, R. Kudrawiec1
Affiliations : 1 Faculty of Fundamental Problems of Technology, Wroc?aw University of Technology, Wybrze?e Wyspia?skiego 27, 50-370 Wroc?aw, Poland; 2 Institute of High Pressure Physics, Polish Academy of Sciences, Soko?owska 29/37, 01-142 Warsaw, Poland; 3 TopGaN Sp. z o.o., Soko?owska 29/37, 01-142 Warsaw, Poland

Resume : In recent years N-polar III-N structures have gained a lot of interest because they exhibit interesting physical properties in comparison to conventional Ga-polar structures. The electric fields arising from polarization effects in N-polar structures have an opposite direction. This is especially useful in HEMT structures as the channel for two dimensional electron gas (2DEG) can be located closer to the surface. Moreover, N-polar surfaces exhibit higher sensitivity to ambient conditions than Ga-polar ones which could be utilized in sensor applications. In this work we present the results of contactless electroreflectance (CER) studies of N-polar GaN UN+ structures for the determination of the position of Fermi level at the surface. Moreover, results of CER and photoreflectance (PR) studies of N-polar GaN/AlGaN heterostructure are also presented. The position of Fermi level at the surface of N-polar GaN has been determined to be ~0.30 eV below conduction band edge in ambient air. Measurement in vacuum shows that N-polar surface of GaN exhibits high sensitivity to changing ambient conditions. Combined CER and PR studies of GaN/AlGaN heterostructure show that a 2DEG is present at the interface. This is manifested by absence of AlGaN-related CER signal which is due to screening of external electric field by 2DEG sheet. The results will be supplemented by Hall measurements of both UN+ and HEMT structures performed in various ambient conditions.

L.P.50
13:30
Authors : G. Gommé1,2, G. Gautier1, M. Portail2, E. Frayssinet2, D. Alquier1, F. Semond2 and Y. Cordier2
Affiliations : 1 Université François Rabelais de Tours, CNRS, CEA, INSA-CVL, GREMAN UMR 7347, 16 rue P. et M. Curie, 37071 Tours cedex 2, France 2 CRHEA-CNRS, rue Bernard Grégory, 06560, Valbonne, France

Resume : In this study, we investigate the growth and the characterization of AlN and GaN epilayers on silicon-on-porous silicon (SOP) as a compliant substrate. The porous silicon layers were obtained by electrochemical etching of silicon wafers in HF based solutions. Different porosities (10% to 30%) and porous layer thicknesses (3 µm to 30 µm) have been investigated. When growing directly III-nitrides on porous silicon, a very poor crystalline quality is observed due to the nucleation with many crystal orientations. Moreover, due to high tensile stress while cooling down, the GaN epilayer is peeled off the substrate. In order to obtain a single orientation GaN, a Si cap layer is grown on porous silicon (PS) by CVD leading to a smooth epi-ready surface. Then the subsequent growth of AlN by NH3-MBE and GaN by MOCVD is investigated by AFM and XRD. Surface morphology and structural quality of the epilayers grown on SOP shows comparable quality with state of the art GaN layers grown on bare silicon. In addition, strain in the AlN and GaN layers grown on SOP and Si has been investigated. Interestingly, the results indicate that a slight compliant effect is achieved on SOP substrates with the thicker porous layer. Finally, we investigate the growth of III-nitrides on patterned porous silicon which consists in a substrate with porous silicon fabricated in defined areas. This open the field of a new generation of SOP wafers for heteroepitaxial growth.

L.P.51
13:30
Authors : Ievgen Boturchuk (1), Raghavendra Rao Juluri (1), Bjarke Rolighed Jeppesen (1), Arne Nylandsted Larsen (1,2), Brian Julsgaard (1,2).
Affiliations : 1. Interdisciplinary Nanoscience Center (iNANO), Aarhus University, Gustav Wieds Vej 14, 8000 Aarhus C, Denmark; 2. Department of Physics and Astronomy, Aarhus University, Ny Munkegade 120, 8000 Aarhus C, Denmark.

Resume : Although electrically active defects in epitaxially grown GaN were studied for more than 20 years, there are still very big gaps in our knowledge of these defects. The present work aims at contributing to the filling of some of these gaps. Electrically active defects are studied in commercially available, state-of-the-art, MOCVD grown, n-type GaN on SiC from CREE. The residual stress in the epitaxial layers was characterized by Raman spectroscopy, and the number of dislocations was estimated using transmission electron microscopy (TEM). Both horizontal and vertical Schottky diodes were produced, and evaluated by current-voltage (IV) and capacitance-voltage (CV) prior to the final characterization by deep level transient spectroscopy (DLTS). In total, six DLTS lines are found in the temperature range from 77 to 450 K with ionization energies in the range between 0.17 and 0.81 eV relative to the conduction band edge. An unusual behaviour is observed for one of the DLTS lines at approximately 305 K corresponding to an energy level at Ec - 0.71 eV. For horizontal diodes the intensity of this line is strongly dependent on the reverse voltage applied to the diode during measurement, and for reverse voltages above 10 V the line becomes dominant in the spectrum. This effect is not observed for vertical diodes. The unusual behaviour of the line has previously been noted [1]. Possible reasons for this behaviour will be discussed. --- [1] Yutaka Tokuda ?Traps in MOCVD n-GaN Studied by Deep Level Transient Spectroscopy and Minority Carrier Transient Spectroscopy?, CS MANTECH Conference, May 19th - 22nd, 2014, Denver, Colorado, USA

L.P.52
 
POSTERS : D.Alquier
13:30
Authors : N. Boukortt, B. Hadri, A. Caddemi, S. Patanè, G. Crupi, and E. Cardillo
Affiliations : - Department of Electrical Engineering, University of Mostaganem, 27000 Mostaganem, Algeria - Dipartimento di Fisica e Scienze della Terra, University of Messina, 98166 Messina, Italy - Department of DICIEAMA, University of Messina, 98166 Messina, Italy

Resume : This work describes the inverse modeling of an on-wafer AlGaN/GaN high electron mobility transistor (HEMT) employing DC and microwave measured parameters. GaN HEMT?s are emerging as excellent candidates for radio-frequency and microwave power applications because of their outstanding power-handling capabilities. As a first step, the device was characterized in DC conditions, then the scattering parameters were measured. Since no detailed information about the GaN HEMT was available, we employed SILVACO Atlas? software to deeply investigate the link between the physical properties of the device and the measured parameters. Therefore, starting from some general process information, we implemented a physical model and compared simulated results with measured data within an iterative procedure to optimize the device performance. By doing so, we could gain an interesting insight on the main features of the device structure as demonstrated by the preliminary results.

L.P.54
13:30
Authors : A. Jaud, A. Kahouli, L. Auvray, S. Linas, G. Ferro, C. Brylinski
Affiliations : Université de Lyon, Université Lyon 1, CNRS, UMR 5615, Laboratoire des Multimatériaux et Interfaces, 43 bd du 11 Novembre 1918, 69622 Villeurbanne Cedex, France

Resume : Peripheral protection is required in any reliable device for power electronics. For Si and SiC devices, it relies on p-type zones implemented by localized doping within the device structure. Such localized doping is not yet available for GaN. Mg ion-implantation in GaN faces several unsolved issues and localized epitaxy of highly p-doped GaN by MOCVD or MBE is not yet under control. The aim of this preliminary study has been to explore a new approach towards localized p-type doping of GaN by implementing Vapor-Liquid-Solid (VLS) transport for both reducing the growth temperature and reaching very high Mg doping levels. The starting substrates are hetero-epitaxial GaN layers grown by MOCVD on Si (111). The growth process cycle includes three steps. At first, Ga is deposited onto the GaN surface by MOCVD at 500°C from TEGa precursor, resulting in an array of Ga droplets with sub-micrometer diameters. Then, Mg is incorporated from the gas phase into the droplets using (MeCP)2Mg precursor. In the last step, the droplets are nitridated at 600-700°C in NH3 atmosphere. Performing one complete cycle usually leads to a network of clearly separated GaN dots. In order to improve the coverage of the seed by promoting the coalescence of the VLS-grown GaN dots, several successive cycles have been performed on the same samples, leading to GaN films with thickness up to 250 nm. SIMS measurements demonstrate the actual incorporation of Mg in the VLS material, with concentrations from 1020 to 1021 cm-3. However, the electrical activity of Mg in these films has still to be investigated.

L.P.57
13:30
Authors : Georgio EL ZAMMAR 1, Arnaud YVON 2, Frederic CAYREL 1, Wahid KHALFAOUI 1, Emmanuel COLLARD 2, Daniel ALQUIER 1
Affiliations : 1: GREMAN UMR 7347 - Université de Tours 10, rue Thalès de Milet, CS 97155, 37071 Tours Cedex 2, France 2: STMicroelectronics 10, rue Thalès de Milet, CS 97155, 37071 Tours Cedex 2, France

Resume : GaN is an interesting material for power applications. Recently, large efforts have focused on AlGaN/GaN heterostructures targeting both HEMTs or Schottky diodes. In such applications, low resistance ohmic contacts are crucial to reach high device performance without neglecting process cost. In this study, recess-free and Au-free Ti/Al ohmic contacts are investigated. AlGaN/GaN heterostructure was epitaxied on 8 in. Si substrate by MOCVD. The Ti/Al stack is sputtered on recess-free AlGaN/GaN samples. Then, we studied surface treatments and RTA temperature effects on the contact resistance. We used c-TLM to extract the ohmic contact parameters. We show that an optimized contact is obtained using a multi step annealing at 500 °C for 3 min and 800 °C for 30 sec, leading to a rather low contact resistance of 1 ?.mm (1.3x10-5 ?.cm2) on this recess-free heterostructure. To explain this result, composition and structure of the annealed metals are studied by XRD. Two main phases are formed: Ti3AlN and TiAl3, leading to this low resistance. We found that cubic Ti3AlN (111) phase is formed only after the two step annealing. Moreover, this phase disappeared when changing the annealing duration at 800 °C, resulting in an increased contact resistance. We also found that TiAl3, which is generally considered for the good ohmic contact on GaN, may result in higher contact resistance depending on Al layer thickness. These results are of high interest for efficient power device contact.

L.P.58
13:30
Authors : L. Trinh Xuan (1,3), R. Aubry (1), N. Michel (1), S. Piotrowicz (1), D. Lancereau (1), P. Gamarra (1), C. Lacam (1), M. Oualli (1), A. Torres (2), P. Bouysse (3), R. Quéré (3) and S. L. Delage (1)
Affiliations : (1) III-V Lab, 1 avenue Augustin Fresnel, 91767 Palaiseau, France; (2) CEA LETI, 17 rue des Martyrs, 38054 Grenoble, France; (3) XLIM C²S², 123 avenue Albert Thomas, 87060 Limoges, France

Resume : Monolithic integration of both normally-off (N-off) and normally-on (N-on) GaN high electron mobility transistors (HEMT) is required to implement driver and control modules for high-frequency power amplifiers. This work presents N-off HEMT results on Ka-band-dedicated AlGaN/GaN and In(Ga)AlN/GaN epitaxial structures on SiC substrates. Output power of 5 W.mm-1 with PAE of 39% and 7.8 dB associated gain at 30 GHz was previously achieved using latter structure. N-off operation was obtained by gate recess of the barrier layer and plasma-enhanced atomic layer deposition (PE-ALD) of 7 nm of Al2O3 gate oxide prior to gate metal deposition. Critical process conditions for Cl2-based inductively-coupled plasma etching have been optimized for nm-scale gate recess of barriers. Etching surface damages were reduced by adding N2 gas in the plasma. Al2O3 gate oxide significantly decreased gate leakage current and improved forward-bias gate behavior. Semiconductor-oxide interface traps density was reduced by water-free PE-ALD growth method and additional 400°C thermal annealing under N2 ambient for 1h. X-Ray diffraction data showed that micro-crystallization occurred at higher thermal budgets. N-off operation was achieved on AlGaN/GaN structures with threshold voltage over 1 V, pulsed drain current over 400 mA/mm, and fmax of 35 GHz. First N-off operation on In(Ga)AlN/GaN RF-dedicated power structure with drain current over 700 mA/mm was demonstrated and microwave performances are pending.

L.P.59
13:30
Authors : Pawel Prystawko 1,2, Piotr Kruszewski1,2, Marcin Mysliwiec3, Marek Ekielski3, Andrzej Taube3, Anna Nowakowska-Siwinska2, Robert Kucharski4, Eliana Kaminska3 and Mike Leszczynski1,2
Affiliations : 1Institute of High Pressure Physics, Warsaw, Poland; 2TopGaN Ltd, Warsaw, Poland, ; 3Institute of Electron Technology, Warsaw, Poland,; 4Ammono S.A., Warsaw, Poland;

Resume : The performance of GaN-based High Electron Mobility Transistors (HEMT) is highly correlated with the quality of the substrate used for further epitaxial growth. Undesirable impurities, defects and high density of dislocations present in the HEMT structure can be a source of disadvantageous effects as parallel conduction or existence of effective scattering centers what can efficiently reduce the HEMT device performances. All of these parasitic effects can be minimized when high quality, homogenous, defect free and low dislocation density bulk GaN substrate [1] for epitaxial growth is used. Despite mentioned above advantages of homoepitaxial growth of HEMT structures, one of the limiting factor in HEMT fabrication still remains a problem of high quality ohmic contacts to form source and drain pads. Due to wide bandgap of GaN, it is hardly difficult to form low-resistance ohmic contacts between the contact metals and GaN. Commonly used Ti/Al/Ni/Au metal stacks followed by post-growth thermal annealing at high temperatures (~850C) has been developed and is widely used as the most successful solution. In this paper, we present the achievement of low-resistance nonalloyed ohmic contacts to n-type GaN using selective area growth by Metal Organic Chemical Vapor Deposition (MOCVD). Such a way of ohmic contacts fabrication demonstrates more favorable electrical performance than the conventional alloyed counterpart. Careful selection of growth conditions i.e temperature together with dedicated mask treatment allowed for uniform layer growth and their electrical properties. We also applied high Si-doping above Mott concentration to reduce surface barriers between GaN and contact metals even without annealing. Temperature dependent Hall measurements have shown that no parallel conduction exists in analyzed HEMT structure. Two dimensional electron gas (2DEG) mobility obtained from Hall experiments was in the range of 1600 cm2/Vs and 11200 cm2/Vs for 300K and 77K, respectively, for electron concentration of about 1.44x1013 cm-2. Moreover, sheet resistance of 270?/sq for structure without surface passivation has been obtained. Finally, our ohmic contacts have been successfully applied on homoepitaxial HEMT structures grown on Ammonothermal GaN semiinsulating substrates for the studies of TDD influence under high power density and high temperature operation. For such HEMT structures, we obtained the contact resistance as low as Rc=0.33 ?*mm. Acknowledgements: This work was partially supported by the PolHEMT and SchottGaN Project under the Applied Research Programme of the National Centre for Research and Development, Contract no. PBS1/A3/9/2012 and PBS3/B3/29/2015, respectively. References: [1] R. Dwilinski et al., J. Crystal Growth 310, 3911 (2008).

L.P.62
Start atSubject View AllNum.Add
 
Silicon Carbide 2 : A.YVON
08:40
Authors : Maria Cabello, Victor Soler, Josep Montserrat, Jose Rebollo, Jose Millan, Philippe Godignon
Affiliations : Centro Nacional de Microelectronica (CNM-CSIC), Campus UAB Cerdanyola, Barcelona, Spain

Resume : After several decades of investigation in the development of a suitable gate oxide on silicon carbide (SiC) MOSFETs, great progress has been made in order to reduce the high amount of interface state density (Dit) and increase the field effect channel mobility (ufe). High values of channel mobility have been reported by using Sb (ufe = 100 cm2/Vs) [1], La (ufe=130 cm2.V-1s-1) [2]. Recently, Boron diffusion in dry oxide (ufe = 100 cm2/Vs) [3] have been proposed by D.Okamoto et al who tried to explained how B diffusion contributes to an efficient passivation of the interface traps. In this work, we extend this B-diffused oxides study through different new experiments building lateral N-MOSFETs using different type of oxides such as oxi-nitrided gate oxide or combination of thin nitride oxide with deposited TEOS PECVD oxide on top. The nitride oxide was formed by rapid thermal oxidation (RTO) in 100% N2O at 1050°C for 10 min, followed by 10 min Ar annealing, resulting in an average oxide thickness of 42.5 nm. The Boron diffusion was performed by planar diffusion Boron sources at 1100º during 30 minutes. The processed devices had a final oxide thickness from 60 to 80 nm. Electrical characterisation of lateral MOSFETs with length and width being 24 and 150 um respectively was done at different temperatures. In the best oxide configuration, the results show a peak field effect mobility of 160cm2/Vs at room temperature to 330 cm2/Vs when rising up temperature, working at a drain-source bias of 0.1V. This peak is less marked at higher drain-source bias. The explanation of such high temperature peak could be related with hot carriers effect [5]. Gate threshold stability of such Boron treated oxides has been also studied.

L.X.1
09:00
Authors : Emanuela Schilirò 1,2), Patrick Fiorenza 1), Giuseppe Greco 1), Salvatore Di Franco 1), Corrado Bongiorno 1), Fabrizio Roccaforte 1), Mario Saggio 3), Raffaella Lo Nigro 1)
Affiliations : 1) Consiglio Nazionale delle Ricerche - Istituto per la Microelettronica e Microsistemi (CNR-IMM), Strada VIII 5, Zona Industrale 95121 Catania, Italy 2) Dipartimento di Scienze Chimiche, Università degli Studi di Catania, and INSTM udr Catania, viale Andrea Doria 6, 95125, Catania, Italy 3) ST Microlectronics Stradale Primosole 50, Zona Industrale 95121 Catania, Italy

Resume : Aluminum oxide (Al2O3) films have been grown by Plasma Enhanced-Atomic Layer Deposition on 4H-SiC with a thermally grown SiO2 thin (5nm) interlayer. The dielectric properties of the stack have been investigated to evaluate the potential advantages of the high-permittivity system for the next generation of high voltage 4H-MOSFETs, in terms of VB2/Ron ratio and reliability. Structural and morphological analyses of the Al2O3 films have been carried out by Transmission Electron Microscopy (TEM) and Atomic Force Microscopy (AFM), respectively, which showed the deposition of adherent, amorphous and smooth films having uniform thickness of 27 nm. The electrical properties of the insulating stack have been analyzed, by capacitance-voltage (C-V) and current-voltage (I-V) measurements, on metal insulating semiconductor (MIS) structures. Al2O3 films with a dielectric constant close to the ideal Al2O3 value (?~8.4), low leakage current and high breakdown field (7MV/cm) were found. Gate bias stressed C-V measurements were performed (up to 6MV/cm) on the MIS structure in order to investigate the trapping phenomena within the insulator, revealing an amount of 5×1011cm-2 negatively oxide-trapped charges. Frequency dependent conductance measurements enabled to quantify a density of interface states of 2×1012cm-2eV-1 at EC-Et= 0.2 eV. The effect of post deposition thermal treatments has been also investigated.

L.X.2
09:20
Authors : Victor Soler, Maxime Berthou, Josep Montserrat, Philippe Godignon, José Rebollo, José Millán
Affiliations : Centre Nacional de Microelectrònica, CNM-CSIC, Barcelona, Spain; CALY Technologies, Villeurbanne, France; Centre Nacional de Microelectrònica, CNM-CSIC, Barcelona, Spain; Centre Nacional de Microelectrònica, CNM-CSIC, Barcelona, Spain; Centre Nacional de Microelectrònica, CNM-CSIC, Barcelona, Spain; Centre Nacional de Microelectrònica, CNM-CSIC, Barcelona, Spain

Resume : Si power devices have already reached their limits imposed by fundamental materials properties, and SiC can enable the realization of devices with significantly improved electrical characteristics. However, the specific on-resistance (RONxS) of high voltage (HV) unipolar devices increases to unacceptable levels and, therefore, structures with bipolar current conduction could be a suitable choice. This paper presents the electrical performance of a 4H-SiC lateral IGBT and its comparison with DMOS transistors. The structures have been integrated with a HV SiC vertical MOSFET process technology with submicron channel length. The fabricated LIGBTs shows the typical knee in the I(V) curves. Although the measured LIGBT RONxS is higher than that of the vertical DMOS, the existence of drift region conductivity modulation can be confirmed through the evolution of RONxS versus working temperature. In this sense, the fabricated structures have been electrical characterized up to 200ºC. The RONxS of DMOS transistors increases monolithically from room temperature up to 200ºC, with a total increase of 50%. This expected behavior is due to the mobility reduction when raising temperature, and can limit the inherent SiC advantages for high temperature applications. On the contrary, the RONxS of LIGBT decreases from room temperature up to 50ºC (around 15%), remaining almost constant up to 200ºC. This RONxS evolution demonstrates an effective conductivity modulation of the LIGBT drift layer.

L.X.3
 
III-N epitaxy : Y.Cordier
10:30
Authors : E. Richter (1), E. Gridneva (1), F. Brunner (1), M. Weyers (1), G. Tränkle (1), C. Giesen (2), M. Heuken (2)
Affiliations : (1) Ferdinand-Braun-Institut, Leibniz-Institut fuer Hoechstfrequenztechnik, 12489 Berlin, Gustav-Kirchhoff-Str. 4, Germany (2) Aixtron SE, 52134 Herzogenrath, Dornkaulstr. 2, Germany

Resume : Hydride vapor-phase epitaxy (HVPE) is widely used for fabrication of GaN substrates. The quality still differs, quantity is limited and price is still too high. But on the other hand, GaN-based solid-state lightening is rapidly growing and an upcoming big market is predicted for GaN-based power electronics. GaN substrates can play a major role if they are affordable. The trend in the technology is clearly towards the use of 4 inch wafers and required electrical properties depend on the application, e.g. semi-insulating GaN wafers for lateral electronic devices. To this end, a commercial vertical AIXTRON HVPE system was used to develop the growth of mm-thick semi-insulating GaN layers with a size of up to 4 inch. The growth conditions result in growth rate uniformities of 12% for 4 inch (6% for 3 inch, 3% for 2 inch) with no parasitic deposits at gas inlets. Iron-doping was realized with ferrocene. Freestanding samples of about 1 mm in thickness were prepared and characterized by Hall-effect measurements up to 500°C. A low free carrier background below 10^16 1/cm3 is routinely achieved. No negative structural effects were recognized up to [Fe]= 2x10^18 1/cm3 which was the maximum incorporation level achieved for a growth rate of 300 µm/h. The electrical resistance of 1x10^6 Ohnmcm was measured at 675 K and extrapolated to 2x10^11 Ohmcm at 300 K. A 4 inch, Fe-doped GaN crystal of 2.8 mm in thickness was grown at a rate of 255 µm/h. Lattice constants of c=0.5185+/-0.0001 nm (epsilon_zz= +0.04%) and a=0.3190+/-0.0003 nm (Epsilon_xx=+0.01%) indicate negligible strain and FWHM of rocking curves of 50? for reflection at (0002) and of 60? for reflection at (30-32) correspond to a threading dislocation density of 3x10^7 1/cm2. Recent results will be discussed.

L.XI.1
11:00
Authors : S. Latrach1,2, E. Frayssinet 2, N. Defrance 3, S. Chénot 2, Y. Cordier 2, C. Gaquière 3, and H. Maaref 1
Affiliations : 1-Laboratoire de Micro-Optoélectroniques et Nanostructures, Université de Monastir, Faculté des Sciences de Monastir, Avenue de l?environnement 5019 Monastir, Tunisie. 2-Centre de Recherche sur l?Hétéro-Epitaxie et ses Applications (CRHEA-CNRS), Rue Bernard Grégory, 06560 Valbonne Sophia Antipolis, France. 3-Institut d?Electronique, de Microélectronique et Nanotechnologies (IEMN), Cité Scientifique, 59650 Villeneuve d?Ascq, France

Resume : Current voltage (I-V) and capacitance voltage (C-V) of an InAlN/GaN high electron mobility transistor (HEMT) grown by metalorganic chemical-vapor deposition on a silicon substrate are investigated as a function of temperature range from 50K to 324K. Based on the thermionic emission theory, the ideality factor (n) and the barrier height (?B) were extracted from forward (I-V) measurements. The calculated barrier height and ideality factor are 0.18 eV and 14.23 at 100 K, and 0.61 eV and 5.1 at 324 K, respectively. It was seen that ideality factors increased and barrier heights decreased with the decreasing temperature. Barrier height inhomogeneity at the metal/semiconductor interface is supposed to be responsible for this discrepancy by assuming a Gaussian distribution of barrier heights. The linearity of the apparent barrier height (?B0) versus 1/2kT plot yields a double Gaussian distribution given mean barrier height (?B0) of 0. 45 eV and 0.91 eV and a standard deviation (?0) of 0.07 V and 0.14V. The effective Richardson is determined to be 53.7 A/cm2 K2 and is in good agreement with the theoretical value.

L.VII.2
11:45
Authors : A. Ajay 1.2, J. Schörmann3, M. Jimenez-Rodriguez1. 2. 4, P. Klement3, M. I. Den Hertog1.5, M. Eickhoff3, E. Monroy1. 2
Affiliations : 1 Université Grenoble-Alpes, 38000 Grenoble, France.; 2 CEA-INAC-PHELIQS, 17 av. des Martyrs, 38000 Grenoble, France.; 3 I. Physikalisches Institut, Justus-Liebig-Universität Gießen, Heinrich-Buff-Ring 16, 35392 Gießen, Germany.; 4 GRIFO, Dept. Electrónica, Universidad de Alcalá, 28871 Alcalá de Henares, Spain.; 5 CNRS-Institute Néel, 25 av. des Martyrs, 38000 Grenoble, France.;

Resume : High Si concentrations (>1E19 cm-3) induces significant tensile strain levels in GaN epilayers, with generation of pits or cracks, particularly on Si(111) substrates. In the case of nanowires, Si migration towards the sidewalls results in a degradation of the wire morphology. In response, germanium is currently under consideration as an alternative n-type dopant in GaN. In this paper, a study of Ge as an n-type dopant in plasma-assisted MBE of GaN is presented. GaN layers were grown under Ga excess, in the so-called Ga bilayer regime. RHEED measurements indicate that the presence of Ge does not modify the Ga kinetics during the growth, even for doping levels >1E20 cm-3. The free carrier concentration scales linearly with the Ge beam equivalent pressure, reaching up to 6.7E20 cm-3 at 300K. The surface morphology of all the samples presents monoatomic terraces, without cracks or pits. No significant variation of the GaN mosaicity was observed even for samples with high dopant concentrations. We further elaborate on fundamental dopant properties like the variation of ionization energy and mobility with the doping level. From the optical viewpoint, the photoluminescence first redshifts and then blueshifts for doping levels above the Mott density (n > 2E18 cm-3). This is accompanied with broadening and appearance of a low-energy tail consistent with the Burstein-Moss effect. From our results, the use of Ge for n-type doping of GaN appears to be a very promising alternative to Si.

L.XI.5
14:45
Authors : Rami Khazaka1, Marc Portail2, Philippe Vennéguès2, Daniel Alquier1, Jean François Michaud1
Affiliations : 1 Université François Rabelais, Tours, GREMAN, CNRS-UMR 7347, 16 rue Pierre et Marie Curie, BP 7155, 37071 Tours Cedex 2, France. 2 CRHEA, CNRS-UPR10, rue Bernard Gregory, 06560 Valbonne, France

Resume : Cubic silicon carbide (3C-SiC) shows prominent mechanical and electrical properties that make it a potential candidate to replace silicon (Si) in several applications. Recently, we investigated the heteroepitaxy of 3C-SiC/Si/3C-SiC layer stack on Si(001) substrates for original and new Micro-Electro-Mechanical-System (MEMS) devices. We found that tuning the surface morphology of the Si intermediate epilayer which has a (110) orientation determines the final 3C-SiC epilayer orientation. This layer can be oriented either along the [111] direction or along the [110] direction. It is widely admitted that for anisotropic material (like Si or 3C-SiC), the elastic modulus is strongly affected by the crystallographic directions. Generally, for both Si and 3C-SiC, the (111) oriented layer shows higher elastic modulus compared to (110) and (001) oriented layers. In this presentation, we will quickly discuss about the structural properties of each layer in this heterostructure as investigated by X-ray diffraction and transmission electron microscopy. Furthermore, we will shed light on the reason behind this orientation rotation in the 3C-SiC epilayer. Finally, the mechanical properties of each 3C-SiC orientation which are currently under investigation might be presented.

L.XII.3
15:00
Authors : P. Schuh, M. Wilhelm, G. Litrico, F. La Via, M. Mauceri, P. J. Wellmann
Affiliations : Crystal Growth Lab, Materials Department 6 (i-meet), FAU Erlangen-Nuremberg, Martensstr. 7, D-91058 Erlangen, Germany; Crystal Growth Lab, Materials Department 6 (i-meet), FAU Erlangen-Nuremberg, Martensstr. 7, D-91058 Erlangen, Germany; CNR-IMM, sezione di Catania, Stradale Primosole 50, I-95121 Catania, Italy; CNR-IMM, sezione di Catania, Stradale Primosole 50, I-95121 Catania, Italy; E. T. C. Epitaxial Technology Center, Sedicesima Strada, I-95121 Catania, Italy; Crystal Growth Lab, Materials Department 6 (i-meet), FAU Erlangen-Nuremberg, Martensstr. 7, D-91058 Erlangen, Germany;

Resume : The cubic polytype of SiC shows technological challenges for the bulk-growth such as a high supersaturation, a silicon rich gas phase and a high vertical temperature gradient. However, a significant step for electronic devices like MOSFETs and intermediate band solar cells can be predicted for high quality material due to the high electron mobility and the wide bandgap. We have developed and investigated a transfer method providing high quality 3C-SiC seeding layers (FWHM of the LO-mode in the Raman spectrum at 3,3cm-1) for the fast sublimation growth process (FSGP) using CVD grown 3C-SiC on Si templates [A. Severino, et al.]. For high quality 3C-SiC seeding layers, a structured, off-axis Si substrate is needed. During the heteroepitaxial growth of 3C-SiC these properties influence the transition layer leading to a rough growth front and a local variation in crystal quality measured by Raman spectroscopy. The FWHM for the LO-mode on the best sample shows a value of 3,8cm-1 which is comparable to high quality CVD grown material presented elsewhere [M. Wilhelm, et al.]. Furthermore, with this method, a growth of free standing, double position boundary free, approximately 850µm thick and 10,5cm2 large 3C-SiC was possible. Analysis of the grown crystals was carried out using Raman and photoluminescence spectroscopy, Laue measurement in back-reflecting geometry and optical microscopy.

L.XII.4
15:15
Authors : Anna Marzegalli (1), Andrea Cortinovis (1), Danilo Crippa (2), Marco Mauceri (3), Fulvio Mancarella (4) , Hans von Kaenel (5,6) and Leo Miglio (1,6)
Affiliations : (1) L-NESS and Dept. of Materials Science, Università degli Studi di Milano-Bicocca, via Cozzi 55, I-20125, Milano, Italy (2) LPE SpA, I-20021 Baranzate Di Bollate, MI, Italy (3) Epitaxial Technol Ctr Srl, I-95121 Catania, Italy (4) CNR IMM Bologna, I-40129 Bologna, Italy (5) Laboratory for Solid State Physics, ETH Zurich, CH-8093 Zürich (6) Pilegrowth Tech srl, via per Cernobbio 11, 22100 Como, Italy

Resume : We show by Finite Element Method simulations that wafer warping is reduced to manufacturability limits for 3C-SiC on Si (001) and (111), if a film, as thick as 15 microns, is realized on substrates patterned in appropriate pillar arrays, featuring micrometric dimensions within the common deep-etching capabilities and array size suitable for power applications (up to one millimeter). The exceptional compliance to the thermal strain of a continuous and suspended 3c-SiC patch is obtained when the pillar aspect ratio is accurately tuned to the size of the patch, i.e. the pillar array. Actually, the sharp onset with pillar aspect ratio of such an effect is demonstrated to be triggered by the free rotation of the pillars, as induced by the thermal contraction of the film. For sufficiently high pillar aspect ratios, the tilting compliance is so effective that no increase in warping is predicted for increasing 3c-SiC thickness, up to 15 micrometer. The mechanisms is shown to be the same for cubic GaN on Si(001), despite a slightly larger increase in warping with film thickness, as due to the reduced stiffness of GaN with respect to Si. Preliminary experimental confirmation of our predictions by 3c-SiC films deposited by hot-wall horizontal reactor is reported.

L.XII.5
15:30
Authors : M. Myronov and G. Colston
Affiliations : Department of Physics, The University of Warwick, Coventry CV4 7AL, UK

Resume : Until now, crystalline cubic Silicon Carbide (3C-SiC) epilayers on Si have to be grown at temperatures close to melting point of Si (1410 °C). As a result, heteroepitaxial growth of 3C-SiC currently requires specially designed hot wall CVD reactors with low throughput, high set up and maintenance costs and causes deformation of the epi wafer, making subsequent processing unpractical. For the first time, we demonstrate low-temperature epitaxial growth of very high quality crystalline 3C-SiC on a full size standard 100 mm diameter on-axis Si(001) substrate, without the appearance of wafer bow. The developed technology allows us to grow these epilayers in a standard, single wafer, cold wall, Si based CVD reactor. Complex characterization of new epitaxial materials has resulted in an in-depth analysis and understanding of 3C-SiC heteroepitaxy. The 3C-SiC epilayers have been grown at temperature below 1200 °C. Very high growth rates, above 10 µm/h, of the 3C-SiC epilayers have been achieved. The obtained results open an opportunity for low cost, mass production of 3C-SiC heterostructures on large diameter Si substrates, up to 450mm diameter, by the existing network of industrial cold wall Si based CVD reactors. These epilayers will be capable of further device or epitaxial processing, making them suitable for use as sensors, power electronic devices, buffers for III-V materials such as GaN and templates for existing and new 2D materials.

L.XII.6

No abstract for this day


Symposium organizers
Daniel ALQUIERGREMAN

UMR 7347 20 Avenue Monge F-37200 Tours

+33 2 47 42 40 40
daniel.alquier@univ-tours.fr
Konstantinos ZEKENTESFORTH

N. Plastira 100, Vassilika Vouton PO BOX 1385 70013 Heraklion, Crete Greece

+302810394108
zekentesk@iesl.forth.gr
Yvon CORDIERCRHEA-CNRS

Rue Bernard Grégory 06560 Valbonne France

+33 4 93 95 78 20
Yvon.Cordier@crhea.cnrs.fr