preview all symposia

A

Materials

Start atSubject View AllNum.Add
 
Bulk nitrides : Izabella Grzegory
13:45
Authors : Narihito Okada1, Keisuke Yamane2, Tohoru Matsubara1,3, Shin Goubara1, Hiroshi Ihara1, Kota Yukizane1, Tatsuya Ezak1i1, Satoru Fujimoto1, Ryo Inomoto1, Kazuyuki Tadatomo1
Affiliations : 1 Yamaguchi University, 2 Toyohashi University of Technology 2 Toyohashi University of Technology 3 UBE Scientific Analysis Laboratory, Inc. Japan

Resume : In this paper, we report fabrication of the GaN substrates with overall low dislocation density and mechanism of lattice bowing of freestanding GaN substrates grown by hydride vapor phase epitaxy (HVPE). We introduced a two-step growth technique involving a reduction of the dislocation density using facet growth as the first step and flattening growth of the GaN layer as the second step. The dislocation density of the GaN substrate using two-step growth technique was on the order of 10^5?10^6 /cm^2. To further reduce dislocation density, we demonstrated a multiple-step growth technique based on the above two-step growth and succeeded in the GaN substrate with the overall dislocation density on the order of 10^4?10^5 /cm^2. A mechanism of the lattice bowing of freestanding GaN substrates grown on sapphire substrate was also investigated. It was revealed that dislocations are present in a plane normal to the growth direction in addition to conventionally-known threading dislocations; these are referred to as the in-plane dislocations. There was a strong relationship between the in-plane dislocation density and lattice bowing. The GaN substrate with a low in-plane dislocation density showed a small radius of curvature. It was concluded that the extra-half planes related to the in-plane dislocations are primarily responsible for the phenomenon of lattice bowing. Acknowledgments This work was supported in part by the Japan Science and Technology Agency (JST) Super Cluster Program.

A.1.1
14:15
Authors : Jianfeng Wang1,2, Guoqiang Ren1, Yu Xu1, Demin Cai2, Mingyue Wang1, Yuming Zhang1, Xiaojian Hu2, and Ke Xu1,2*
Affiliations : 1 Suzhou Institute of Nano-tech and Nano-bionics, Chinese Academy of Sciences, China 2 Suzhou Nanowin Science and Technology Co. Ltd., Suzhou Industry Park, China *Corresponding author’s Tel: 86-512-6287-2501, E-mail: kxu2006@sinano.ac.cn

Resume : Further reduction of dislocation density and enlargement of wafer size are two important issues for homo-epitaxial technology based on GaN substrates, which is leading the next wave nitride semiconductor development, especially in the application of low-efficiency-droop LEDs, high performance of power-devices and volume production of LDs. The typical dislocation density of volume production GaN substrates grown by hydride vapor phase epitaxy(HVPE) is about 106cm-2, with the typical diameter about 2 inch. This paper reports our recent progress of HVPE-grown GaN substrates, including high quality 2-inch GaN substrate growth, fabrication of 4 inch and 6 inch GaN substrate and doping behavior of GaN substrates for N-type conductivity and semi-insulating. Some results of homo-epitaxy device based on our GaN substrates are also reported. The dislocation density will reduce obviously with the increase of thickness of GaN bulk crystal. By employing a new developed Hydride Vapor Phase Epitaxy (HVPE) system, bulk GaN crystal can be grown up more than 10mm in thickness with the diameter of 2 inch. After slicing, it is found that the dislocation density is declining sharply from 108cm-2 to 104cm-2 when the thickness is increasing from several micrometers to several millimeters, which is characterized by cathode-luminescence. By the enlargement and optimization of HVPE reactor, 4 inch and 6 inch crack-free GaN layers with the thickness about 300μm is successfully grown on the Sapphire substrate. With our home-made laser-lift-off equipment, 4 inch and 6 inch GaN layers are separated from Sapphire, with the typical dislocation density about 106 cm-2. For the un-doped GaN substrate, the back carrier concentration is as low as 1~5*1016cm-3, with the highest electron mobility above 1100cm2/V•s at room temperature, which is suitable for the application for the power devices. For the N-type GaN substrate, the behavior of Ge-doping and Si-doping is carefully compared. It is found that Ge-doping is more stable and easier to control because of the limited pre-reaction. For the semi-insulating GaN substrate, Fe is choose as the compensational dopant, the relationship between the resistivity and the concentration of Fe and Si is discussed. Finally, the performance of some typical devices based on our GaN substrates is also demonstrated under the cooperation with other research groups, such as blue and green LDs, SBDs with the breakdown voltage of 1200V, and inter-subband absorption structures. Meanwhile, the homo-epitaxy and device process related issues, such as the influence of miscut angle on the surface morphology, the ohmic contact process on GaN substrates, are also discussed.

A.1.2
14:45
Authors : Hajime Fujikura, Takayuki Suzuki, Toshio Kitamura and Tetsuji Fujimoto
Affiliations : SCIOCS Co. Ltd.

Resume : A hardness control in HVPE growth enabled us to grow crack-free bulk GaN crystals with diameters over 2 inch and thicknesses beyond 5 mm. In growth methods of bulk GaN crystals, various kinds of stresses are inevitably applied to the GaN crystals due to a C-plane bowing, a parasitic edge deposition and so on. These stresses increase with an increase of the growth thickness, and generate cracks if the crystal thickness becomes larger than a certain critical value. Since the effect of stress becomes sever particularly in large-size crystal, fabrication of practical-size GaN bulk crystal will be impossible without overcoming such a stress issue. We have drastically increased this critical thickness for crack generation from 1mm to over 5mm by increasing GaN hardness values from 19.7GPa to 22GPa through a precise HVPE growth control. By X-ray topography, a lot of slip lines were observed along the crystal edge of the “soft” GaN crystal with thickness of several mm. Because of this, crack free thick bulk crystals were hardly obtained for the soft GaN crystal. On the other hand, no slip line was formed in the hardest GaN crystal even if the thickness exceeded 5mm. A 2 inch freestanding GaN wafer fabricated from the top portion of the hardest bulk GaN possessed an extremely small dislocation density value of 5e5/cm2.

A.1.3
15:00
Authors : Daisuke Nakamura, Taishi Kimura, Kayo Horibuchi
Affiliations : Toyota Central R&D Labs., Inc. Nagakute, Aichi, 480-1192, Japan

Resume : The next target application of GaN, which has excellent electronic properties such as high electric breakdown field and high electron mobility, must be power devices. One of requirements for high-performance highly reliable GaN-based power devices must be high-quality native GaN single crystal wafers with large size at low cost, i.e., the key issue is crystal growth techniques to obtain bulk GaN crystals. Here we propose halogen-free vapor phase epitaxy (HF-VPE) as a significantly improved technique, which utilizes the simplest reaction of Ga(g) + NH3 → GaN + 3/2H2 to grow GaN at high rate for prolonged duration, and employs the most stable TaC-coated graphite crucibles/susceptors to prevent impurity incorporation. The dependences of GaN growth rates and Ga feed rates with respect to major growth parameters were investigated; which revealed the mechanism of Ga supply is simple “evaporation”, and the high growth rates of 100–200 um/h is achievable with increasing Ga crucible temperature and decreasing pressure. Furthermore, surface-area enlargement of molten Ga source is predicted to be a solution to further enhance the Ga evaporation efficiency and GaN growth rate. The analyses on optimized HF-VPE-GaN thick layers revealed that the high crystal quality both in terms of morphology, dislocation density, and purity is quite promising to obtain high-quality GaN bulk crystals as well as to form thick drift layers for vertical GaN power devices.

A.1.4
15:15
Authors : Katsuhiro Kishimoto Mitsuru Funato Yoichi Kawakami
Affiliations : Kyoto University

Resume : We have proposed a new growth method of AlN substrates: elementary source vapor phase epitaxy (EVPE), which uses low cost and ecological precursors (Al metal and N2) and very simple reaction (Al(g) + 1/2N2 → AlN). By EVPE, we have succeeded in fabricating AlN on sapphire substrates with a maximum growth rate of 18 μm/h, and a minimum dislocation density of 5×10^8 (cm^-2). Interestingly, a 18-μm-thick AlN layer on sapphire is crack-free, unlike AlN/sapphire grown by metalorganic vapor phase epitaxy. We found that when AlN is grown on sapphire by EVPE, voids are formed at the AlN/sapphire interfaces, which may act as strain absorbers allowing the growth of crack-free AlN. However, the formation mechanisms of the interface including these voids are unknown, and are discussed in this presentation. We examined the influence of source flow sequences on the AlN/sapphire interface structures. When N2 was supplied after the substrate temperature reached a growth temperature, the interface became rough. On the other hand, when N2 was supplied from room temperature, a relatively smooth interface with voids was formed. We found that the rough interface can cause self-separation of AlN from sapphire, due to structurally weak interface. This phenomenon can be controlled via the supply timing of N2, and is beneficial for bulk AlN fabrication because the substrate-removal process can be omitted.

A.1.5
15:30
Authors : Jicai Zhang(1,2), Jun Huang(1), Maosong Sun(1) , Xuewei Li(1), Guoqiang Ren(1,2), Jianfeng Wang(1,2), Ke Xu (1,2)
Affiliations : 1.Platform for Characterization and Test, Suzhou Institute of Nano-tech and Nano-bionics, CAS, Suzhou215123, China 2. Suzhou Nanowin Science and Technology Co., Ltd, Suzhou 215123, China

Resume : Aluminum nitride (AlN) is a promising material for deep ultraviolet optoelectronic devices and high-power, high-frequency electronic devices due to its wide band gap energy and outstanding material properties [1]. However, due to the high bond energy of Al and N, the growth temperatures of high-quality AlN are generally higher than 1400ºC[2]. Besides, the large lattice and thermal mismatch between AlN and foreign substrates will result in a high density of extended defects. In this work, the growth dynamic process of AlN was studied. Twin kinds of twin structures of (10-13) and (10-11) were observed in AlN thick films. Based on the study of strain state and defect during the growth, 2 inch high-quality and crack-free AlN epilayers more than 5μm were grown on sapphire using high-temperature hydride vapor phase epitaxy (HVPE). The 2-inch AlN/sapphire template was characterized by XRD, AFM and TEM. For the 5μm AlN epilayer, the FWHM of XRD (0002) and (10-12) are around 180 and 280 arcsec, respectively. The RMS of 10 x 10μm AFM image is less than 0.8 nm. TEM measurements showed that the dislocation density is around 108 cm-2. The template showed deep ultraviolet transparence with transmission of as high as 80% at 280 nm, which indicated that the template was a good choice for deep ultraviolet light-emitting diodes. Reference [1] A. Khan et al, Nature Photonics 2, 77 (2008). [2] Xue-Hua Liu et al, Applied Physics Express 9, 045501 (2016).

A.1.6
 
Bulk nitride : Narihito Okada
16:15
Authors : Izabella Grzegory
Affiliations : Institute of High Pressure Physics PAS Unipress ul. Sokolowska 29/37, 01-142 Warsaw, Poland

Resume : Despite an enormous development of GaN based semiconductors awarded by Nobel Prize in Physics in 2014, some fundamental problems of GaN, InN and their ternaries remains unsolved. This is due to severe pressure requirements for stability of these compounds at high temperatures. Basic physical phenomena for GaN like melting or liquid phase structure are still not determined. In particular there are principal discrepancies in the reported data on both the melting p-T conditions and the sign of dT/dp derivative. Development of an efficient method for growth of bulk high quality crystals is also an “open question” in GaN physics. Due to extreme melting conditions, GaN cannot be grown from its stoichiometric melt. The only method supplying GaN substrates for industry is HVPE. Its main advantage is high growth rate exceeding 100 m/h.. Real bulk GaN crystals of very high structural quality are grown by ammonothermal method at moderate pressures of 0.1-0.3GPa and low temperatures of about 400-600oC. Development of this technology is limited by discouragingly low grow rate of about 1 m/h. Recent results on GaN bulk crystallization based on growth by HVPE on Ammono-GaN seeds will be presented. These studies are crucial for establishing physical limitations of real bulk GaN crystallization by HVPE. New directions in development of the bulk GaN crystal growth by both low and high pressure methods will be proposed.

A.2.1
16:45
Authors : Makoto Saito, Quanxi Bao, Kohei Kurimoto, Daisuke Tomida, Kazunobu Kojima, Yuji Kagamitani, Rinzo Kayano, Toru Ishiguro, Shigefusa F. Chichibu
Affiliations : IMRAM Tohoku University; Mitsubishi Chemicap Corporation; The Japan Steel Works

Resume : Acidic ammonothermal method is one of the promising techniques which enables the mass production of large diam. bulk GaN crystals. State-of-the-art high-power light-emitting diodes and laser diodes are usually fabricated on the GaN substrates grown by hydride vapor phase epitaxy. However, to realize vertically conducting high-power GaN switching devices, large-diam., bowing-free GaN substrates are essential because of the larger area of such device. Operating pressure is one of the important issues for the large scale ammonothermal GaN production. The capacity of the autoclave (AC) made of Ni-Cr superalloy depends on the operating pressure, because the volume of the Ni-Cr ingot is limited: lower pressure is preferred to reduce the shell thickness. Our estimation suggests it is necessary to reduce the operation pressure down to 100MPa for 6-in. GaN wafer production. We have studied the dependence of the growth rate on temperature and pressure, and found it possible to achieve the growth rate faster than 1000 m/day in the optimum growth conditions, with the X-ray rocking curve FWHM being less than 25 arcsec. Based on these studies and optimization, we have successfully demonstrated high speed bulk GaN growth at 100MPa. Very recently, we have developed a medium-scale AC designed to the specific growth conditions. By using this vessel, 2-in. diam. GaN crystals were successfully grown. This result is a new step toward the realization of large size, high quality crystal growth.

A.2.2
17:00
Authors : Shotaro Takeuchi1, Yuki Mizuta1, Masayuki Imanishi2, Mamoru Imade2, Yusuke Mori2, Yasuhiko Imai3, Shigeru Kimura3, Akira Sakai1
Affiliations : 1Graduate School of Engineering Science, Osaka University; 2Graduate School of Engineering, Osaka University; 3Research & Utilization Division, Japan Synchrotron Radiation Research Institute (JASRI)

Resume : A Na flux growth method using multipoint-seed-GaN templates is one of promising growth methods to obtain extremely high quality GaN bulk crystals. With this technique, the threading dislocation density can be reduced from ~10^9 cm^-2 in the seed-GaN to ~10^2 cm^-2 in the Na flux GaN. The reduction of the dislocations mainly occurred at the Na flux GaN/seed-GaN interface, where the dislocations with a c component were effectively blocked by the presence of voids and the a-type dislocations propagated laterally and collectively [1]. However, modification of microstructures related to such dislocation behaviors at the interface has not been clarified yet. In this study, we have investigated lattice plane microstructures in Na flux GaN bulk crystals grown with controlling seed crystal surfaces and growth mode by nano beam X-ray diffraction (nanoXRD). Position-dependent nanoXRD revealed both tilt and rotation of (11-20) lattice planes of the Na flux GaN around the [1-100] and [0001] axes, respectively. Results clearly showed that the wet-chemical etching process, which creates dislocation-related etch pits on the seed-GaN surface, and three-dimensional growth mode of the Na flux GaN at the initial growth stage lead to suppressing the fluctuation of lattice plane tilting in the Na flux GaN. A strong correlation of such lattice plane microstructures with the dislocation morphology has been confirmed by transmission electron microscopy. [1] S. Takeuchi et al., JAP 118, 245306 (2015).

A.2.3
17:15
Authors : Q.K. Wang, K. Cao, J. Wang, Z.H. Wang, L.X. Liu, J.W. Gong, L. Wu
Affiliations : State Key Laboratory of Advanced Special Steel & Shanghai Key Laboratory of Advanced Ferrometallurgy & School of Materials Science and Engineering, Shanghai University, Shanghai 200072, China; Suzhou Ultratrend Technologies Co. Ltd, Suzhou City 215699, Jiangsu Province, China

Resume : Due to its ultra-wide bandgap (6.2eV), similar thermal expansion coefficient and chemical properties as compared with GaN and AlGaN, bulk single-crystalline aluminum nitride (AlN) is the most promising substrate material for deep-UV optoelectronic devices. However, the huge potential of this substrate is limited by the current lack of sufficiently large and perfect single crystals. Therefore the preparation of bulk AlN single crystals with large-size and high-quality has become an urgent request to meet these demands. In this paper, we present a spontaneous AlN growth technique on the top surface of sintered source by PVT method based on an in-house designed hotzone and growth reactor. A detailed description of the in-house growth reactor with a pure tungsten hotzone setup is given in Ref. [1]. Our growth experiment runs for 40h in a high purity nitrogen atmosphere. The growth results show that AlN single crystals can be spontaneous grown on the top surface of sintered AlN source by accurately controlling the temperature profile in the growth chamber (tungsten crucible). The largest AlN single crystal can reach 10mm, while typical sizes are between 5mm-10mm. This implies that our average growth rates are between 0.1mm-0.25mm per hour depending on the spontaneous growth shape and orientation. Also the as-grown crystals exhibit different growth habit as observed by Epelbaum et al[2], Hartmann et al [3][4]. Our Raman analysis results show that the values of full-width at half-maximum (FDHM) of chosen grown crystals are 5.5~5.98/cm, which implies that extremely high-quality and large single crystals can be grown by the method we proposed. Other characterization of obtained AlN crystals by SEM, XRD and AFM will also be presented in the presentation. References: 1. Z.H. Wang, X.L. Deng, K. Cao, J. Wang, L. Wu, J. Crystal Growth, 2016.12, In Press. 2. B.M. Epelbaum, C.Seitz, A. Magerl, M. Bickermann, A. Winnacker, J. Crys. Growth. 265 (2004) 577?581. 3. C. Hartmann, J. Wollweber, A. Dittmar, K. Irmscher, A. Kwasniewski, F. Langhans, T. Neugut, and M. Bickermann, 2013 Japan. J. Appl. Phys. 52 08JA. 4. C Hartmann, A Dittmar, J Wollweber and M Bickermann, Semicond. Sci. Technol. 29 (2014) 084002.

A.2.4
17:30
Authors : Makoto OHTSUKA, Keigo FUJIWARA, Hideto MIYAKE, Hiroyuki FUKUYAMA
Affiliations : Tohoku University; Tohoku University; Mie University; Tohoku University

Resume : Aluminum nitride (AlN) is promising substrate material for AlGaN-based UV-LEDs. Bulk AlN single crystals are commonly prepared by sublimation-recondensation method. This method requires a high growth temperature over 2000 °C. Alumina decomposition-nitridation method has been proposed in our group. In this method, alumina powder is carbothermal reduced and Al-containing gas species is easily formed even at lower temperature. In this study, the influences of graphite molar ratio and reaction temperature on alumina carbothermal reduction and AlN crystal growth on AlN-buffer layer were investigated to improve the AlN crystal quality. Mixed powder of alumina, graphite and zirconia was used as a source material. Zirconia suppressed the nitridation on the alumina surface. The c-plane 6H-SiC substrate with AlN-buffer layer was held above the mixed powder. Reaction temperature was kept at 1900-2000 °C for 5 h under nitrogen atmosphere. Mass decrease of mixed powder reached a maximum in the graphite molar ratio to alumina of 2-3 at 1900 °C. Two-dimensional c-axis oriented AlN crystals were grown on AlN-buffer layer at all temperatures for the graphite molar ratio of 2. The full-width at half maximum of the AlN (0002) XRCs for the AlN crystals was almost same as that of AlN-buffer layer, but the value of AlN (10-12) XRCs for the AlN crystals was decreased to 1/5. These results indicate that the in-plane orientation of AlN crystals was improved much better than that of AlN-buffer layer.

A.2.5
17:45
Authors : Masayoshi Adachi, Hiroyuki Fukuyama
Affiliations : Institute of Multidisciplinary Research for Advanced Materials (IMRAM), Tohoku University

Resume : AlN is a promising substrate material for AlGaN-based deep-ultraviolet light emitting diodes (DUV-LEDs). In the case of high Al content AlGaN based DUV-LEDs, emission efficiency is degraded by its spontaneous and piezoelectric polarization. The authors developed a novel liquid phase epitaxy (LPE) technique using Ga-Al solution under normal pressure of nitrogen gas. In this technique, surface nitrided sapphire [1] is used as a template. Using the technique, c-axis-oriented AlN layers successfully grew on the nitrided c- and a-plane sapphire substrates [2]. Recently, we succeeded to fabricate a-plane AlN layer using r-plane sapphire substrate, however, the a-plane AlN layer showed slightly tilted double domain structure. In this study, to obtain single domain AlN layer, we tried to fabricate a-plane AlN thin film by the sapphire nitridation method using r-plane sapphire substrate with an off-cut angle, moreover, we demonstrated AlN growth of the a-plane AlN thin film using the Ga-Al LPE technique. Using r-plane sapphire with off-cut angle of 1 degree toward the m-axis direction, a single domain a-plane AlN thin film was successfully obtained by sapphire nitridation. Additionally, using the Ga-Al LPE technique, 1-2-micro meter-thick a-plane AlN layer grew on the a-plane AlN film for 5 h at 1573 K. The AlN layer grew homoepitaxially, thus, the AlN layer also showed single domain structure. [1] H. Fukuyama et al., JAP 107 (2010) 043502. [2] M. Adachi et al., PSS(B), 252 (2015) 743.

A.2.6
Start atSubject View AllNum.Add
 
Growth and polarity : Ferdinand Scholz
08:30
Authors : S. Keller and U.K. Mishra
Affiliations : University of California Santa Barbara Electrical and Computer Engineering Department

Resume : Recently, N-polar heterostructures have gained interest because of their advantages for high frequency, high power GaN-based transistors allowing the demonstration of devices with record output power densities exceeding 6.5 W/mm at 94 GHz.[1] These results were obtained using (Al,Ga)N/GaN heterostructures grown on C-face SiC substrates misoriented by 4 degrees towards the m-plane. The crystal misorientation was previously identified as one of the key components for a robust N-polar growth process enabling the deposition of high quality and high purity N-polar (Al,Ga,In)N nitride films under a wide range of growth conditions.[2] Besides the growth on C-face SiC, the MOCVD process for N-polar films on misoriented sapphire and silicon substrates will be reviewed.[3] The impact of the crystal misorientation on selected heterostructure properties will be discussed as well. [1] S. Wienecke et al., IEEE Electron Dev. Lett. 38, 359 (2017). [2] S. Keller et al., J. Appl. Phys. 102, 083546 (2007). [3] S. Keller et al., Semicond. Sci. Technol. 29, 113001 (2014).

A.3.1
09:00
Authors : Stefan Mohn,1 Natalia Stolyarchuk,1,2 Toni Markurt,1 Ronny Kirste,3 Marc P. Hoffmann,3 Ramón Collazo,3 Aimeric Courville,2 Rosa Di Felice,4,5 Zlatko Sitar,3 Philippe Vennéguès,2 and Martin Albrecht1
Affiliations : 1 Leibniz Institute for Crystal Growth, Max-Born-Strasse 2, 12489 Berlin, Germany; 2 Centre de Recherche sur l’HétéroEpitaxie et ses Applications, CNRS-CRHEA, rue Bernard Grégory, 06560 Valbonne, France; 3 Material Science and Engineering, North Carolina State University, 1001 Capability Drive, Raleigh, North Carolina 27695-7919, USA; 4 Center S3, CNR Institute of Nanoscience, Via Campi 213/A, 41125 Modena, Italy; 5 Department of Physics, University of Southern California, Los Angeles, California 90033, USA;

Resume : Controlling the polarity of polar semiconductors on nonpolar substrates offers a wealth of device concepts in the form of heteropolar junctions. A key to realize such structures is an appropriate buffer-layer design that, in the past, has been developed by empiricism. Understanding the basic processes that mediate polarity, however, is still an unsolved problem. We present results on the structure of buffer layers for group-III nitrides on sapphire by transmission electron microscopy. We show that it is the conversion of the sapphire surface into a rhombohedral aluminum-oxynitride layer that converts the initial N-polar surface to Al polarity. With the various AlxOyNz phases of the pseudobinary Al2O3-AlN system and their tolerance against intrinsic defects, typical for oxides, a smooth transition between the octahedrally coordinated Al in the sapphire and the tetrahedrally coordinated Al in AlN becomes feasible. Based on these results, we discuss the consequences for achieving either polarity and shed light on widely applied concepts in the field of group-III nitrides like nitridation and low-temperature buffer layers.

A.3.2
09:30
Authors : Sylvia Hagedorn1, Hassan Gargouri2, Franziska Naumann2, Arne Knauer1, Ute Zeimer1, and Markus Weyers
Affiliations : 1 Ferdinand-Braun-Institut, Leibniz-Institut für Höchstfrequenztechnik, Gustav-Kirchhoff-Str. 4, D-12489 Berlin, Germany 2 SENTECH Instruments GmbH, Schwarzschildstraße 2, D-12489 Berlin, Germany

Resume : The growth of AlN by metal-organic vapor phase epitaxy (MOVPE) on sapphire is a widely used approach to produce AlN pseudo substrates for AlGaN-based devices. Especially the heteroepitaxial growth start determines the crystal quality of the deposited AlN layer. Hence, reaching proper nucleation conditions is necessary. Since the nucleation process is known to be sensitive to the pretreatment of sapphire in the MOVPE machine (e.g. by unintentional interaction of temperature and parasitic AlN deposits) a homogeneous, stable starting layer on the sapphire surface would be a great advantage. Therefore, we investigate the applicability of seed layers from plasma enhanced atomic layer deposition (PEALD). X-ray diffraction measurements prove the transition of 14 nm thick amorphous PEALD layers into crystalline AlN during heating in the MOVPE reactor up to 1290°C. The influence of three different annealing environments, H2, N2, and NH3 H2, on the recrystallization is investigated. On these seed layers 700 nm thick AlN layers were grown by MOVPE. C-plane oriented layers can only be grown on PEALD seed layers annealed in H2 or N2. Their XRD rocking curve FWHMs are 1000’’ for the 002 and 2400’’ for the 102 reflection and need to be reduced. Furthermore, selforganized void formation at the sapphire/AlN interface can be observed. In-situ metrology is used to monitor the growth process. Structural properties of AlN are determined by atomic force microscopy, X-ray diffraction and scanning electron microscopy.

A.3.3
09:45
Authors : Jori Lemettinen, Iurii Kim, Hironori Okumura, Sami Suihkonen
Affiliations : Aalto University Department of Electronics and Nanoengineering; Aalto University Department of Electronics and Nanoengineering; Faculty of pure and applied science, Tsukuba University and Department of Electrical Engineering and Computer Science, Massachusetts Institute of Technology; Aalto University Department of Electronics and Nanoengineering

Resume : We present a systematic study on the effects of MOVPE growth conditions and 4H-SiC substrate miscut on the crystalline quality of nitrogen-polar (000-1) AlN. Both V/III-ratio and growth rate were found to affect the dominating growth mode, crystalline quality and surface roughness of n-polar AlN. Growth condition of high nitrogen supersaturation, or high V/III ratio, has been shown to improve crystalline quality of n-polar III-nitrides. In this study, we demonstrate that V/III -ratios below 5000 at a constant growth rate of 0.1 µm/h are optimal to obtain n-polar AlN films with high crystalline quality. Comparing with Al-polar AlN, N-polar AlN suffers from large surface roughness due to the higher diffusion barrier of adatoms. We achieved a smooth AlN surface together with the suppression of hexagonal-hillock formation by using a 1-degree miscut substrate, under optimized growth conditions. Out results show that a higher substrate miscut of 4 degrees leads to non- self limiting step bunching, and thus, surface roughening. By optimizing III/V -ratio and growth rate for 1-degree miscut substrate the x-ray rocking curve full width half maximums were 225 arcsec and 372 arcsec for 002 and 102 reflections, respectively. The root-mean-square surface roughness was 0.24 nm for a 2 µm * 2 µm atomic force microscope scan. The achieved material quality would be sufficient for the fabrication of n-polar AlN devices.

A.3.4
 
Exploratory growth : Stacia Keller
10:30
Authors : Yoichi Kawakami, Takuya Ozaki and Mitsuru Funato
Affiliations : Department of Electronic Science and Engineering, Kyoto University, Kyoto 606-8501, Japan

Resume : InGaN is a key material for optical materials such as light-emitting diodes (LEDs), laser diodes (LDs), and solar cells. In fact, high performance LEDs and LDs have been realized in the spectra ranging from the near ultraviolet to green. Although InGaN can tune between 3.4eV (wavelength of 365nm) for GaN nad 0.6eV (2µm) for InN, the device performance degrades at longer wavelengths. This is because current devices typically grown on nearly unstrained GaN host layers leading to the generation of misfit dislocations in In-rich InGaN/GaN active layers. One way to overcome this problem is to adopt almost unstrained InGaN host layers, and ScAlMgO4 (SAM) substrates are the candidate for this purpose. We have succeeded in the growth of high quality In0.17Ga0.83N lattice matched with SAM by metalorganic vapor phase epitaxy (MOVPE). The grown In0.17Ga0.83N layer is nearly unstrained and exhibits very uniform and bright fluorescent microscope image, the wavelength of which peaked at 505nm at room temperature. Moreover, In0.17Ga0.83N/ InxGa1-xN/ In0.17Ga0.83N (x > 0.17) quantum wells (QWs) have been fabricated on lattice matched In0.17Ga0.83N host layers grown on SAM substrates, and red (610nm) photoluminescence from the QWs have been achieved. In this conference, detailed structural and optical properties will be reported for InGaN layers grown on SAM substrates.

A.4.1
11:00
Authors : Narihito Okada1, Hiroki Ikeuchi1, Naoki Morishita1, Tohoru Matsubara1,2, Tomoyuki Tanikawa3, Kim DoHun4, Masayuki Imanishi4, Mamoru Imade4, Yusuke Mori4, Kazuyuki Tadatomo1
Affiliations : 1 Yamaguchi University, 2 UBE Scientific Analysis Laboratory, Inc., 3Institute for Materials Research, Tohoku University, 4 Osaka University

Resume : Semipolar GaN gives further possibilities for various applications, if the high-quality and large diameter semipolar GaN substrate is realized. Na-flux method is one of the most promising methods to realize above requirements. In this study, we evaluated the {11-22} GaN substrates using Na-flux point-seed technique. A template with the hydride-vapor-phase-epitaxy-grown {11-22} GaN seeds on an m-plane sapphire substrate was prepared. Na-flux point seed technique was conducted using the template. As a result, a perfectly coalesced {11-22} GaN substrate was obtained, and we evaluated the {11-22} GaN substrate to reveal the crystalline quality and growth machanism by cathodoluminescence (CL), low-temperature photoluminescence (LT-PL), transmission electron microscopy (TEM), and two-photon excitation microscopy. The dislocation density was on the order of 10^6 /cm^2 by counting dark spots in the CL image of the {11-22} GaN surface. The LT-PL spectrum indicated the very sharp single near-band edge emission spectrum without stacking faults (SFs) related emissions. From TEM observation, it was revealed that the no SFs were observed on the surface owing to the overgrowth of the GaN layer during growth. The growth behavior was also confirmed by investigating depth profile by two-photon excitation microscopy; that growth modes are expected to be effective for reducing the defects. The crystalline quality of the {11-22} GaN substrate fabricated by Na-flux point seed technique was excellent in terms of the both dislocation and SFs.

A.4.2
11:15
Authors : Frederik Steib1,2, Jan Gülink1, Johannes Ledig1,2, Thilo Remmele4, Alexander Behres3, Sönke Fündling1,2, Martin Albrecht4 , Martin Straßburg3, Hans-Jürgen Lugauer3, Hergo-Heinrich Wehmann1,2, Andreas Waag1,2
Affiliations : 1 Institute of Semiconductor Technology and Laboratory for Emerging Nanometrology, Braunschweig University of Technology, 38092 Braunschweig, Germany 2 epitaxy competence center ec2, Hans-Sommer-Straße 66, 38106 Braunschweig, Germany 3 Osram Opto Semiconductors GmbH, Leibnizstraße 4, 93055 Regensburg, Germany 4 Leibniz Institute for Crystal Growth, Max-Born-Straße 2, 12489 Berlin, Germany

Resume : Publications about sputtered InGaN/GaN light emitting diodes (LEDs) have raised attention to Pulsed Sputtering Deposition in the nitride semiconductor community. The method promises to decrease the cost per area for the production of InGaN/GaN LEDs and allows the process to be conducted at lower temperatures compared to metal organic vapor phase epitaxy (MOVPE). Therefore it would possibly give access to InGaN QWs with higher In-concentrations and opens up the way to a wider range of emission wavelengths. We will present results from pulsed sputtering of GaN and InGaN using a reactor with four 2-inch magnetron sources and by using liquid gallium. The properties of the sputtered layers are successfully investigated by X-ray diffraction (XRD), cathodoluminescence, and transmission electron microscopy, all of them revealing a wurtzite structure. The influence of sputtering parameters, e.g. the pressure and the gas composition, is revealed with respect to morphology analysis of the thin film by scanning electron microscopy. Pulsed sputtering of GaN and InGaN layers could be achieved with growth rates of 1 µm/h for GaN and HRXRD reflexes with a FWHM linewidth of 0,25° and 0,3° for the (0002) and (0-115) direction, respectively. This already competes with GaN deposited by MOVPE, giving typically a FWHM linewidth of about 0,1° and below. In cathodoluminescence measurements we observed a clear NBE at about 365 nm, indicating a low impurity level in the material.

A.4.3
11:30
Authors : a) Srinivasan Kannan, Brian Gruver, Chankyung Choi, Jianwei Wan, Mihir Tungare, Seong-Eun Park, Troy Larsen, Peter W. Kim b) Lauri Knuuttila, Ingo Daumiller, Uttiya Chowdhury, Werner Sebastian, Markus Harfmann
Affiliations : a) Infineon Technologies Americas Corporation, Mesa, Arizona, USA b) Infineon Technologies Austria, Villach, Austria

Resume : The prevalence of macro epitaxial defects in GaN-on-Si epitaxy is well known but their formation mechanism and effect on device yield at wafer level probe is not well understood. GaN and Si have a huge lattice mismatch (~ 17%) and the strain is managed through a series of transition/buffer layers. As a result, the overall epitaxial GaN-on-Si HEMT stack is highly strained. A film under strain tends to have macro defects (cracking, pits etc.) as well as micro defects (threading dislocations etc.). Using a defect inspection tool tailored for GaN-on-Si and standard CMOS in-line defect inspection tools, we have been able to correlate the device failures at wafer level reliability (WLR) tests to the defect classifications on the GaN-on-Si DD tool. 150 mm Si substrates with 2.5 um GaN-on-Si epi stack and 4 um thick GaN-on-Si epitaxy stacks were used as a test vehicle. A total of > 50 Nos. of 150 mm wafers were processed in-line with the goal to identify the defect type with the highest kill ratio at WLR tests. Further, the studies revealed the degradation of the epi breakdown behavior as the density of these defects increased. Additionally, we will also demonstrate Infineon ability to control the cracking, killer defect formation, threading dislocation density through epitaxy process. At Infineon, we have been able to reduce our defect density by 10x over the past 5 years through epitaxy engineering and excellent MOCVD operational controls to an industry best < 0.5 defects/cm2.

A.4.4
11:45
Authors : G. Kusch1, M. Nouf-Allehiani1, L. Spasevski1, F. Mehnke2, P. Pampili3, J. Enslin2, P. R. Edwards1, G. Naresh-Kumar1, T. Wernicke2, D. V. Dinh3, V. Z. Zubialevich3, A. Knauer4, M. Weyers4, M. Kneissl2;4, P. J. Parbrook3, C. Trager-Cowan1, R. W. Martin1
Affiliations : 1Department of Physics, SUPA, University of Strathclyde, 107 Rottenrow East, Glasgow G4 0NG, United Kingdom ; 2Institute of Solid State Physics, Technische Universität Berlin, Hardenbergstr. 36, 10623 Berlin, Germany; 3Tyndall National Institute, University College Cork, Lee Maltings, Dyke Parade, Cork, Ireland; 4Ferdinand-Braun-Institut, Leibniz-Institut für Höchstfrequenztechnik,Gustav-Kirchhoff-Str. 4, 12489 Berlin, Germany

Resume : One of the main limitations in using AlGaN layers with a high AlN content (x> 80%) in devices is inefficient doping. Their conductivity suffers from an increase in the activation energy of the Si donor as well as a reduction of the formation energy of compensating and self-compensating defects. We report on the characterization of the Si-doping in polar and (11-22) semipolar wide bandgap AlGaN:Si layers by multiple scanning electron microscope based techniques. Series of polar and semipolar AlGaN:Si samples with AlN content ranging from 60-100% were investigated by electron channelling contrast imaging, cathodoluminescence hyperspectral imaging and wavelength dispersive X-ray (WDX) measurements. Threading dislocations were observed to act as non-radiative recombination centers for the NBE luminescence and increase the incorporation of point defects around dislocations with a screw component. Furthermore, we successfully demonstrated the use of WDX spectroscopy for the characterisation of doped wide bandgap AlGaN layers, simultaneously determining the dopant concentration and overall composition of the investigated layers. Our data show that the Si concentration increases linearly with the silane to group III ratio and independently from the AlN concentration within the concentration range investigated. Si contents down to 3e18 cm-3 were measured for nearly pure AlN, and the WDX detection limit for Si in AlGaN will be explored using a new field-emission gun microprobe.

A.4.5
12:00
Authors : Kazuki Ohnishi1,Masaya Kanoh2,Tomoyuki Tanikawa1,Shigeyuki Kuboya1,Takashi Mukai2,Takashi Matsuoka1
Affiliations : 1Institute for Materials Research, Tohoku University;2Nichia Corporation

Resume : The high-quality GaN wafer with low cost is strongly required for high-power transistors. The free-standing GaN wafer is fabricated by the epitaxial growth of GaN on a foreign substrate such as sapphire. To reduce the threading dislocation density (TDD), ScAlMgO4 (SCAM) was proposed as a nearly lattice-matching substrate [1]. Moreover its temperature dependence of the thermal-expansion coefficient is almost the same as that of GaN. SCAM has also cleavability which can be expected as a characteristic for the-self separation. In this study, a thick GaN film on SCAM by the hydride vapor phase epitaxy (HVPE) is demonstrated, and the self-separation is reported. 160- and 320-µm-thick GaN films were grown on 2-µm-thick GaN templates prepared on a cleaved (0001)-plane SCAM by MOVPE. The dark spot density (DSD) were investigated by two-photon excited photoluminescence. 160-µm-thick GaN has been separated from the SCAM substrate by an external stress, however, 320-µm-thick GaN was self-separated during the cooling down process. This separation can be considered to occur due to both the difference in thermal expansion coefficients and the cleavability. DSD for GaN on SCAM is a little smaller than that of GaN on sapphire. These results indicate that an SCAM substrate can be expected to enable a free-standing GaN wafers with lower TDDs than conventional ones to be fabricated by self-separation. [1] E. S. Hellman et al., MRS Proc. 395, 51 (1996).

A.4.6
 
B containing nitrides : Sergei Novikov
13:45
Authors : Tetsuya Akasaka
Affiliations : NTT Basic Research Laboratories, NTT Corp. 3-1 Morinosato-Wakamiya, Atsugi, Japan

Resume : Wurtzite BN-related alloys, such as AlBN and BGaN, are attractive candidates for deep-UV LEDs and LDs because of their wide bandgaps and complete lattice-matched epitaxy on various substrates, such as SiC, AlN, and GaN. AlBN thin films are expected to be good cladding layers in AlN-based LEDs and LDs because an AlBN alloy can have a lower refractive index and a higher bandgap than those of AlN. The growth of AlBN and BGaN thin films has been investigated by many researchers using metalorganic vapor phase epitaxy (MOVPE) and molecular beam epitaxy (MBE). However, several critical issues have strongly limited the crystallinity of BN-related alloys: Phase separation and inhomogeneous growth tend to occur because of the large mismatch in lattice constants between BN and AlGaInN as well as the difference in the stable crystal polytypes (wurtzite for AlGaInN and graphite for BN). A B-N bond is strongest among the III-N bonds, so an extremely high growth temperature is required to enhance the surface migration of precursors. In addition, parasitic reactions between NH3 and boron-containing metalorganics in the gas phase result in less incorporation of boron atoms into the growing films. Here, we report the growth of Al1-xBxN (x~0.02) films with smooth surfaces and compositional homogeneity by using flow-rate modulation epitaxy (FME), wherein group-III and group-V sources are alternatively supplied to the growing surface in MOVPE. We have successfully grown single-crystal AlBN films with both polar (0001) and nonpolar (11-20) and (1-100) orientations. Optical characteristics of AlBN films, including reflectivity at around the bandgap energies, are also presented. Finally, we demonstrate the application of a BxGa1-xN (x~0.02) alloy as an excellent buffer layer for the growth of GaN on sapphire.

A.5.1
14:15
Authors : X. Yang*, S. Nitta, K. Nagamatsu, M. Pristovsek, Y. H. Liu, Y. Honda, and H. Amano
Affiliations : Department of Electrical Engineering and Computer Science, Nagoya University, Nagoya 464-8603, Japan; Institute of Materials and Systems for Sustainability, Nagoya University, Nagoya 464-8603, Japan; School of Information Engineering, Zhengzhou University, Zhengzhou, 450001, China; Akasaki Research Center, Nagoya University, Nagoya 464-8603, Japan; Venture Business Laboratory, Nagoya University, Nagoya 464-8603, Japan.

Resume : Hexagonal boron nitride (h-BN) is a promising candidate for novel electronics, deep UV photonics, and neutron detectors. However, h-BN growth is very challenging due to short surface migration of boron and strong parasitic reaction between tri-ethyl boron (TEB) and ammonia (NH3). Therefore, we used pulsed-mode MOVPE. However, the slow growth rate of below one nanometer per minute typically results in long exposure of sapphire to NH3 and hence a strong nitridation of sapphire substrate. We have optimized the growth conditions for h-BN films by systematically vary the growth conditions, especially the duration of the alternating pulses. Both higher temperature and larger/longer NH3 flow promote h-BN formation. Atomic force microscopy showed that the larger undisturbed h-BN domains correlate with stronger the (0002) h-BN reflections observed by X-ray diffraction. Scanning transmission electron microscopy (STEM) confirmed the layered two-dimensional structure of the h-BN layer. AFM also observed many dots which appear similar to structures formed during nitridation of sapphire. In order to further improve surface as well as h-BN layer quality, the initial nucleation of h-BN seems important as well as reducing the initial NH3 exposure without sacrificing crystallinity. Acknowledgements: This work is partially supported by National Institute for Materials Science and JST-SICORP and MOST-SKRDP (2016YFE0118400).

A.5.2
14:30
Authors : T. Q. P. Vuong, G. Cassabois, P. Valvin, B. Gil, V. Jacques, R. Cusco, L. Artus, S. Liu, J. H. Edgar.
Affiliations : Laboratoire Charles Coulomb, UMR 5221 CNRS-Université de Montpellier, 34095 Montpellier, France; Institut Jaume Almera, Consejo Superior de Investigaciones Cientficas (ICTJA-CSIC), 08028 Barcelona, Spain; Department of Chemical Engineering, Kansas State University, Manhattan, Kansas 66506, USA.

Resume : Hexagonal boron nitride (hBN) is a promising material for optoelectronic applications because of unique electronic properties such as a wide bandgap, low dielectric constant and high thermal conductivity. Here, we focus on understanding the intrinsic optical and electronic properties of hBN in deep ultraviolet which are determined by the lattice vibrations. Firstly, we show that the fine structure of the phonon replicas arises from overtones of interlayer shear modes. These lattice vibrations are specific to layered compounds since they correspond to the shear rigid motion between adjacent layers, with a characteristic energy of about 6.8 ± 0.5 meV at 10K. We obtain a quantitative interpretation of the multiplet observed in each phonon replica under the assumption of a cumulative broadening as a function of the overtone index. The differences between each phonon replicas come from only one parameter which is the phonon group velocity at T points of the Brillouin zone [Vuong, PRB, 95, 045207 (2017)]. Secondly, we prove for the first time that h-BN provides a text-book example for the strong coupling regime of the exciton-phonon interaction because: (i) The line-shape has a Gaussian profile in contrast to the weak coupling regime with Lorentzian lines and (ii) the linewidth increases as √T in contrast to the weak coupling regime with a linear increases with temperature [Toyozawa, Progr. Theor. Phys.20, 53 (1958)]. When increasing temperature, the linewidth increases as √T with two contributions: the elastic scattering by acoustic phonons at low temperature (T < 50K) and the inelastic scattering by optical phonons at high temperature. We show here the excellent agreement in the fit of the thermally-induced broadening in the strong coupling regime of h-BN compared to the standard expression in the weak regime.

A.5.3
14:45
Authors : Suresh Sundaram 1, Xin Li 1,2, Taha Ayari 1,2, Saiful Alam 1,2 , Youssef El Gmili 1, Gilles Patriarche 4, Paul L. Voss 1,2, Jean Paul Salvestrini 1,3, Abdallah Ougazzaden 1,2.
Affiliations : 1 Georgia Tech Lorraine, UMI 2958, Georgia Tech - CNRS, 57070 Metz, France
; 2 School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia, 30332, USA
; 3 Université de Lorraine and CentraleSupélec, LMOPS, EA 4423, 57070 Metz, France; 4 Laboratoire de Photonique et de Nanostructures (LPN), CNRS, Université Paris-Saclay, route de Nozay, F-91460 Marcoussis, France;

Resume : III-nitride devices on 2D layered h-BN templates adds more functionalities and are very attractive for flexible electronic and optoelectronic applications. Recently we have demonstrated growth of III-nitride LED structures on h-BN buffered sapphire substrates and simple wafer-scale mechanical liftoff. AlGaN/GaN high electron mobility transistors (HEMT) grown on h-BN template is very interesting for cost reduction, higher performance and flexible applications. Further h-BN acts as a good heat sink solving the self heating issues. In the present investigation AlGaN/GaN HEMTs were grown on 2” h-BN buffered sapphire substrates. We overcame growth issues like delamination during growth, cracking and other strain related degradations by optimizing the growth conditions and thicknesses of different constituent layers. The interesting structural and optical characteristics of this structure along with the detailed cross sectional transmission electron microscope analysis on the interfaces between 3D bonded III-nitride and 2D layered h-BN buffered sapphire substrates will be presented in comparison with state of the art HEMTs on GaN templates grown under similar conditions.

A.5.4
15:00
Authors : Shuo Wang, Xiaohang Li, Alec M. Fischer, Theeradetch Detchprohm, Russell D. Dupuis, Fernando A. Ponce
Affiliations : Department of Physics, Arizona State University, Tempe, Arizona 85287-1504, USA; Center for Compound Semiconductors and School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332, USA; Computer, Electrical and Mathematical Sciences and Engineering Division, King Abdullah University of Science and Technology, Thuwal 23955, KSA;

Resume : The microstructure of BAlN films grown by flow-modulated epitaxy at 1010 oC, with B/(B+Al) gas flow ratios ranging from 0.06 to 0.18, has been investigated. Accurate values of the boron content in our films are difficult to assess, due to the low boron sensitivity in Rutherford backscattering spectroscopy (RBS) and due to the high crystal defect density in the material that results in broad x-ray Bragg diffraction (XRD) peaks. Boron content values have been deduced from the aluminum signal in the RBS spectra and range from 6 to 16%, closely following the gas flow ratios. On the other hand, values obtained from XRD analysis range from 2 to 9%. Transmission electron microscopy indicates the sole presence of wurtzite crystal structure in the BAlN films, and a tendency towards columnar growth for B/(B+Al) ratios below 0.12. For higher ratios, the BAlN films exhibit a tendency towards finer microstructure and twin formation. Electron energy loss spectroscopy has been used to profile spatial variations in the composition of the films. Our results indicate that the incorporation of B is highly efficient for this growth method, but that epitaxial growth may be limited by a solubility limit in the crystal phase slightly below 9%, which is higher than previously thought.

A.5.5
15:15
Authors : T.S. Cheng1, A. Summerfield1, J. D. Albar1, A. Davies1,2, C.J. Mellor1, L. Eaves1, C.T. Foxon1, A.N. Khlobystov2, T.Q.P. Vuong3, G. Cassabois3, P. Valvin3, B. Gil3, P.H. Beton1, S.V. Novikov1
Affiliations : 1School of Physics and Astronomy, University of Nottingham, Nottingham NG7 2RD, UK; 2School of Chemistry, University of Nottingham, Nottingham NG7 2RD, UK; 3Laboratoire Charles Coulomb, UMR5221 CNRS-Universite de Montpellier, 34095 Montpellier, France.

Resume : In our talk we will discuss growth of hexagonal boron-nitride (h-BN) layers by high-temperature molecular beam epitaxy (MBE). The dual chamber GENxplor was specially modified to achieve growth temperatures of up to 1850oC under ultra-high vacuum conditions. We use a high-temperature effusion cell for boron and an RF nitrogen plasma source to grow BN layers on sapphire and highly oriented pyrolytic graphite (HOPG) at substrate temperatures between 1000 and 1700oC (thermocouple temperatures). We combine atomic force microscopy (AFM), spectroscopic ellipsometry, and photoluminescence spectroscopy in the deep ultraviolet to compare the quality of h-BN grown on sapphire and HOPG. We observed hexagonal moiré patterns with a period of 15nm, consistent with the alignment of the BN lattice and the graphite substrate. AFM reveals mono- and few-layer island growth, while conducting atomic force microscopy shows that the grown BN has a resistance which increases exponentially with the number of layers, and has electrical properties comparable to exfoliated h-BN. X-ray photoelectron spectroscopy, Raman microscopy and spectroscopic ellipsometry measurements on the BN confirms the formation of h-BN with a band gap of about 5.9eV and no chemical intermixing with the graphite. For both substrates, the emission spectra peak at 235nm, indicating the high optical quality of h-BN grown by MBE. Our work demonstrates a new high-temperature MBE approach to the growth of epitaxial h-BN.

A.5.6
 
GaN on graphene : Russel Dupuis
16:15
Authors : Joan M. Redwing, Zakaria Y. Al Balushi, Joshua A. Robinson
Affiliations : Department of Materials Science and Engineering, Materials Research Institute, The Pennsylvania State University, University Park, USA

Resume : The spectrum of two-dimensional (2D) materials “beyond graphene” has been continually expanding driven by the compelling properties of monolayer films. The majority of studies have focused on materials such as MoS2 and hBN that have layered crystal structures but there is growing interest in expanding the range of 2D materials to more conventional semiconductors. Computational studies have predicted that wurtzite SiC and GaN favor a planar or buckled structure when they are only a few atomic layers thick but experimental validation has proven more difficult. We have developed a novel alternative route to the synthesis of ultra-thin films of GaN –graphene encapsulated growth. This process uses controlled intercalation and reaction of group III and V precursors within the interfacial region of quasi-free standing epitaxial graphene formed on SiC to form stable ultrathin GaN films within an MOCVD growth environment. The graphene capping layer provides thermodynamic stabilization of a unique R3m structure as identified by aberration-corrected scanning transmission electron microscopy (STEM). Density functional theory predicts a bandgap energy in the range of 4.79-4.89 eV for this structure which correlates well with experimental results from UV-visible reflectance and absorption measurements. X-ray photoelectron spectroscopy (XPS) demonstrates that the ultra-thin GaNx is stable in air for at least 24 hours after removal of the graphene cap. The realization of few layer films of group III-nitrides would broaden the range of accessible bandgap energies of 2D materials providing new avenues for scientific exploration and electronic/optoelectronic device development.

A.6.1
16:45
Authors : A. Liudi Mulyo (1,2), B.O. Fimland (1), H. Weman (1) and K. Kishino (2)
Affiliations : 1 Department of Electronic Systems, Norwegian University of Science and Technology (NTNU), NO-7491 Trondheim, Norway 2 Department of Engineering and Applied Sciences, Sophia University, 7-1 Kioi-cho, Chiyoda-Ku, 102-8554 Tokyo, Japan

Resume : Compared to conventional mercury-based ultraviolet (UV) lamps, an approach towards AlGaN-based UV light-emitting diodes (LEDs) is considered more attractive for use in water and air purification applications due to its compact-size and environmental-friendly traits. Furthermore, the efficiency of thin film based LEDs are limited by high dislocation densities which can potentially be overcome by epitaxial growth of nanostructures like nanopyramids and nanocolumns (NCs). Nano epitaxial growth presents new opportunities to combine materials with different lattice constants and still result in a high crystal quality. In addition, the shape of the nanostructures can be used to enhance the light-extraction efficiency. Substrate consisting of transferred graphene on fused silica is a fascinating combination owing to the fact that it can serve as an electrode as well as a transparent substrate. Employing the aforementioned combined substrate, we manage to obtain n-GaN/AlGaN NCs on graphene/glass using plasma-assisted molecular beam epitaxy. n-GaN NC stems are nucleated on graphene using a buffer layer of n-AlN in order to obtain vertically aligned AlGaN NCs. Interestingly, n-AlN buffer layers grown with migration enhanced epitaxy fails to control the n-GaN/AlGaN NCs growth direction. To resolve this matter, the AlN buffer deposition method is altered in such way that the NCs are grown nearly perpendicular to the substrate.

A.6.2
17:00
Authors : M. Gruart [1,2], T. Journot [1,3], B. Hyot [1,3], B. Gayral [1,2], B. Daudin [1,2]
Affiliations : [1] Univ. Grenoble Alpes, 38000 Grenoble, France; [2] CEA, INAC-PHELIQS "Nanophysics and semiconductors" group, 38000 Grenoble, France; [3] CEA, LETI, MINATEC campus, 38000 Grenoble, France.

Resume : An obstacle to the realization of good quality III-N materials is the lack of adapted substrate for their epitaxial growth. The lattice strain induced by lattice mismatch can however be significantly relaxed if the epitaxial growth is achieved through Van der Waals interaction on 2D materials. This approach focuses on new possibilities for GaN growth based on Van der Waals epitaxy on graphene by molecular beam epitaxy. Two different kinds of graphene have been used as substrate, one is transferred on SiO2/Si(111) and the other is grown on SiC-6H. The GaN nucleation was observed to be a critical step influenced by graphene defects, related to low gallium’s wettability on graphene. The use of graphene on SiC-6H eases the nucleation and provides homogeneous GaN germs distribution, suggesting the SiC brings additional interactions affecting the nucleation. Concerning the epitaxial relationship, the GaN was found to be well in-plane and out-of-plane oriented on both graphenes. GaN with a typical thickness of 500nm exhibits a well relaxed structure lattice and a structural quality comparable to GaN grown on classical substrates as attested by photoluminescence, Raman spectroscopy and X-Ray diffraction analysis. Finally, with the aim to highlight the absence of strong chemical bonds, peeling was achieved on GaN layers. Afterwards, the graphene was observed to stay on the original substrate, which provides a way to develop a reusable substrate for multiple transfers of GaN layers.

A.6.3
17:15
Authors : Mikael Råsander, James B. Quirk, Michelle A. Moram
Affiliations : Department of Materials, Imperial College London

Resume : Ultra violet light emitting diodes (UV-LEDs) based on wurtzite structured III-nitrides have low efficiencies and lifetimes, since it is difficult to achieve lattice and polarisation matched quantum well heterostructures with appropriate band gaps and band offsets using either pure III-nitrides or their alloys. The Group II-IV nitride semiconductors, e.g. MgSiN2 and ZnSiN2, are emerging as interesting candidate materials for these types of applications. For example, MgSiN2 has been found to have a band gap of similar size as AlN with lattice constants that are intermediate between AlN and GaN[1]. Recently, we have been interested in the effect of alloying AlN and MgSiN2, and investigated the structural and electronic properties going from pure AlN to pure MgSiN2, using both experimental and theoretical methods. Especially, we have investigated ordering between Al, Mg and Si on the cation lattice and how it affects, e.g., the electronic properties. [1] J. B. Quirk, M. Råsander, C. M. McGilvery, R. Palgrave and M. A. Moram, Appl. Phys. Lett. 105 112108 (2014). M. Råsander and M. A. Moram, Mater. Res. Express 3, 085902 (2016).

A.6.4
17:30
Authors : Maria Tchernycheva, Vishnuvarthan Kumaresan, Ludovic Largeau, Nan Guan, Lorenzo Mancini, Ali Madouri, Frank Glas, Hezhi Zhang, Fabrice Oehler, Antonella Cavanna, Martina Morassi, Andrea Cattoni, François H. Julien, Andrey Babichev, Noelle Gogneau and Jean‐Christophe Harmand
Affiliations : Center of Nanoscience and Nanotechnologies (C2N), UMR9001 CNRS site Orsay & Marcoussis, Université Paris-Sud, Université Paris-Saclay, France

Resume : The development of optoelectronic devices with a nanostructured active region requires a fine control over the properties of individual nano-objects. This control can be achieved by using selective area growth (SAG). Several groups have demonstrated SAG of GaN nanowires (NWs) by MBE. For all these demonstrations the epitaxy is performed at high temperature on crystalline substrates covered with a metal or dielectric mask (e.g. Ti, SiOx and SiNx) presenting nano-openings to expose the underlying substrate. In this work we present an alternative approach to achieve SAG of nitride NWs by plasma-assisted MBE: CVD-grown graphene patches are transferred from their original copper or nickel substrates to amorphous layers of SiO2 which are used as carrier substrates for the GaN growth. The graphene patches consist of as-grown monocrystalline micro-domains or large domains nanopatterned by lithography. Under proper conditions (substrate temperature 800°C), the GaN growth is highly selective: NW nucleation does not occur on SiO2 whilst the graphene patches play the role of micro or nano substrates for GaN NW growth. Indeed, graphene imposes the vertical and in-plane NW orientations [1]. NW photoluminescence spectra are dominated by D0XA line, whereas the 3.45 eV line, attributed to inversion domain boundaries [2], is not observed contrary to GaN NWs grown on standard AlN/Si substrates. [1] NanoLett 2016 doi: 10.1021/acs.nanolett.6b01453 [2] Appl. Phys. Lett. 2015 doi 10.1063/1.4927826

A.6.5
17:45
Authors : Yutian Cheng1, Duanjun Cai2, Jiejun Wu1*, Xiangshun Liu1, Xiaohui Feng1, Guoyi Zhang1 , Tongjun Yu1*
Affiliations : 1 Research Center for Wide-gap Semiconductors, State Key Laboratory for Artificial Microstructures and Mesoscopic Physics, School of Physics, Peking University, Beijing 100871, P. R. China 2 Fujian Key Laboratory of Semiconductor Materials and Applications and Department of Physics, Xiamen University, Xiamen 361005, China

Resume : The separation of thick GaN layer from substrate is a key process for manufacturing GaN free standing substrates after HVPE growth. Basically, a self-separation of thick GaN wafer from sapphire substrate is understood as a result of the stress variation and the development of delamination cracks in bi-layer system. The fracture toughness KIC, a parameter relating to the resistance to failure under mechanical loading, shows great importance for wurtzite structure of GaN especially when it has anisotropic properties in m-, a- and c-planes. Furthermore, precondition of crack propagation, which is involved in dynamic processes, should be understood and controlled while the local stress intensity factor beyond its fracture toughness. In this work, the fracture toughnesses of bulk GaN with c-plane, m-plane and a-plane cracks were measured by nanoindentation method for the first time, and first-principles calculations were performed within the framework of density functional theory. Experimental results revealed that the fracture toughnesses along nonpolar m- and a-planes are similar, 1.54 and 1.59 MPa•m1/2, respectively, but significantly smaller than that along c-plane GaN, about 2.11 MPa•m1/2. The calculated fracture energies of different planes are consistent with the experimental results of nanoindentation. The smaller values of fracture toughness in the a- and m-planes mean that cracks easily happen in these planes rather than c plane. Self-separation processes more likely rely on the propagation of c-plane crack, so that restraining the driving force of m- and a-planes cracks becomes to be the key point. We need to control stress changes caused by notches or bending and twisting, both of which lead to stress gradients with the highest stress on the surface, and microscopic stress concentrators, such as micro-grooves due to machining, surface step produced by dislocations as well. These are believed to be effective in offering the driving force for the propagation of cracks. In controlling dynamics processes during the separation of GaN epilayers from sapphire substrate, reducing the defect density in GaN and purposely introducing the c-plane crack sources at the wafer edge are performed. Our results showed that the thickness of crack-free GaN epilayer could increase from 250-300µm to 550-600µm when the dislocation density of GaN layers decreased from 8 × 107 to 1 × 106 cm-2. Meanwhile, the polycrystalline GaN deposited around the wafer edge formed c-plane micro-channels along the wafer edge, which become the cracking source of c-plane crack and leading to self-separation during the sample cooling down to room temperature. In conclusion, although the fracture toughnesses along nonpolar m- and a-planes are similar than that of c-plane GaN, the local stress intensity factor along c-plane GaN could beyond its fracture toughness by reducing the defect density and purposely introducing the c-plane crack sources at the wafer edge, which are the key points for the self-separation of thick GaN layer from sapphire substrate.

A.6.6
 
Tuesday poster : Bruno Daudin
18:00
Authors : Baodan Liu, Qingyun Liu, Xin Jiang
Affiliations : Shenyang National Laboratory for Materials Science (SYNL), Institute of Metal Research (IMR) Chinese Academy of Sciences (CAS)

Resume : Aligned GaN nanowires epitaxially grown on foreign substrate (for example, sapphire) have received tremendous research interest in the past years due to their significant applications in optoelectronics and the easy integration with the current Si-technology.1-5 However, the controllable growth of GaN nanowire arrays with good alignment still keeps extremely challenging. On the other hand, the lattice-mismatching between GaN and foreign substrate will generate large strain in GaN crystal and the dislocation initially formed in foreign substrate will propagate into GaN layer.6 As a result, high density of structural defects will be formed in GaN epitaxial layer and a strong yellow-band emission in the visible range will be observed. These defects will drastically deteriorate the device performance and optical emissions of GaN-based nano-optoelectronics and it is definitely essential to develop some key technology to depress the dislocation threading. In contrast to conventional defect-controlling methods such as buffering layer and mask coating, we developed a new method with a barrier layer and a buffering layer involved to block the threading of dislocation at GaN-substrate interface and to promote the aligned growth of GaN nanowires.3 SEM observations found that the GaN nanowire arrays grown on buffering/barrier layers exhibited totally different growth behavior in comparison with the ones directly grown on sapphire substrate. Most importantly, the defect-related emission band in the visible range has been completely depressed and only a strong UV emission with a centered wavelength at 369 nm was observed, implying the excellent performance of buffering/barrier layers in preventing the dislocation threading. The method proposed here can also be applied to the defect controlling of a variety of semiconductor nanostructures. Keywords: GaN; nanowires; epitaxial growth; defects; Cathodoluminescence; 1. Liu, B. D.; Bando, Y.; Tang, C. C.; Xu, F. F.; Golberg, D. Appl Phys Lett 2005, 87, (7), -. 2. Liu, B.; Wang, Z.; Yuan, F.; Benjamin, D.; Sekiguchi, T.; Jiang, X. Rsc Adv 2013, 3, (45), 22914. 3. Liu, B.; Yuan, F.; Dierre, B.; Sekiguchi, T.; Zhang, S.; Xu, Y.; Jiang, X. Acs Appl Mater Inter 2014, 6, (16), 14159-14166. 4. Kuykendall, T. R.; Altoe, M. V.; Ogletree, D. F.; Aloni, S. Nano Lett 2014. 5. Tang, Y. B.; Bo, X. H.; Lee, C. S.; Cong, H. T.; Cheng, H. M.; Chen, Z. H.; Zhang, W. J.; Bello, I.; Lee, S. T. Adv Funct Mater 2008, 18, (21), 3515-3522. 6. Chen, Y. S.; Shiao, W. Y.; Tang, T. Y.; Chang, W. M.; Liao, C. H.; Lin, C. H.; Shen, K. C.; Yang, C. C.; Hsu, M. C.; Yeh, J. H.; Hsu, T. C. J Appl Phys 2009, 106, (2).

A.1.1
18:00
Authors : M. Lamprecht 1, V.N. Jmerik 2, R. Collazo 3, Z. Sitar 3, S.V. Ivanov 2, K. Thonke 1
Affiliations : 1 Institute of Quantum Matter / Semiconductor Physics Group, University of Ulm, Albert-Einstein-Allee 45, 89069 Ulm, Germany; 2 Ioffe Institute, Politekhnicheskaya 26, 194021 St. Petersburg, Russia; 3 Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27606, USA

Resume : Multiple defect related photoluminescence bands in the range from 1.68 eV to 2.4 eV in aluminum nitride bulk crystals and AlN layers grown on SiC by different epitaxial techniques were investigated. Using continuous photoluminescence, photoluminescence excitation, and time-resolved photoluminescence spectroscopy in the sample temperature range from 9 K to 450 K, we find that all these deep bands are donor-acceptor pair transitions with either shallow or deeper DX donor states of silicon or oxygen involved. For the acceptor, we find three different types: a complex of an aluminum vacancy with either one or two oxygen atoms on neighboring sites, or a single aluminum vacancy. We obtain configuration diagrams for the competing transitions at around 1.68 eV, 1.86 eV, 2.05 eV and 2.4 eV. The latter two PL bands involve the same acceptor and the first three the same donor or DX center, respectively.

A.1.2
18:00
Authors : I. Daldoul(a), N. Chaaben(a), Y. El Gmili(b), A. Bchetnia(a), J. P. Salvestrini(b,c) and A. Rebey(a).
Affiliations : (a)Unité de Recherche sur les Hétéro-Epitaxies et Applications, Faculté des Sciences de Monastir 5019, Université de Monastir, Tunisia. (b)CNRS, UMI 2958 Georgia Tech - CNRS, 57070 Metz, France. (c)Université de Lorraine, Centrale Supelec, LMOPS, EA4423, 57070 Metz, France Corresponding authors. Tel: +216 73 500 274; fax: +216 73 500 578. E-mail: imendaldoul9@gmail.com

Resume : GaN layers were grown by metal organic vapor phase epitaxy (MOVPE) on GaAs (110) substrate at growth temperature varied in the range of 750-900°C. Low growth temperature (550°C) 50 nm-thick GaN layer was used as buffer layer. The characterizations results obtained by scanning electron microscope (SEM), high resolution X-ray diffraction (HRXRD) and room temperature cathodoluminescence (RT-CL) showed high growth temperature dependence of crystalline and optical properties of GaN. Mixture of cubic and hexagonal crystal structure of GaN were obtained on GaAs (110) surface. The 2/ spectra are dominated by two broad peaks associated with c-GaN (220) and h-GaN(11.0) reflections. The better alignment of cubic GaN according to (220) orientation was obtained for growth temperature of 850°C. For this growth temperature, morphological observations by SEM showed columnar structure of c-GaN(220) that inclined with respect to the sample surface. The RT-CL spectra showed only cubic GaN emission for this sample whereas both emissions of c-GaN(3.23 eV) and h-GaN (3.40 eV) were observed for 900 °C as extreme growth temperature. Keywords: MOVPE, c-GaN(220), GaAs(110) substrate, HRXRD, Cathodoluminescence.

A.1.3
18:00
Authors : Chia-Yen Huang, Kai-Shiang Chang, Yun-Hsiang Lin, Wei-Chih Peng, Hao-Chung Kuo, Ray-Lin Min,
Affiliations : Department of Photonics and Institute of Electro-Optical Engineering, National Chiao Tung University,1001 Ta Hsueh Rd., Hsinchu 300, Taiwan; Research & Development Center,Epistar Corp., 21, Li-hsin Rd., Hsinchu Science Park, Hsinchu 300, Taiwan R.O.C.; Department of Electronic Engineering and Green Technology Research Center, Chang Gung University, Taoyuan 333, Taiwan

Resume : Deep ultra-violet (DUV) light-emitting diodes (LEDs) have a high potential for replacing the mercury lamp light source in the near future for many applications such as sterilization and water purification. However, the overall external quantum efficiency was still quite limited for many technical difficulties. For example, high crystal quality AlN templates were difficult to achieve due to large lattice constant and thermal expansion coefficient mismatches between sapphire and AlN. How to improve the crystal quality of AlN templates has been addressed intensively.[1,2] However, how to manage the large lattice constant mismatch between AlGaN layer and AlN template was less discussed. In order to lower the series resistance in electrically-pumped DUV LEDs, a thick AlGaN layer with high carrier concentration was necessary. To reduce the donor activation energy, a micron-thick n-AlGaN with higher Ga composition was desired, for example, Al0.6Ga0.4N layers. However, the lattice mismatch between Al0.6Ga0.4N and AlN was as high as 1 %. The high compressive strain of Al0.6Ga0.4N on AlN induced surface instability and compositional inhomogeneity during epitaxial growth. Hillock morphology was observed for 800 nm AlxGa1-xN (x<0.73) grown on AlN template, which was driven by the relaxation of strain energy. [3] In this report, we inspected the influence of inserting different types of transition layers (TL) on the surface instability. With a thickness-graded superlattice transition layers (SL-TL), 2 μm thick Al0.6Ga0.4N was grown on AlN template without formation with hillocks on the surface. Three AlGaN samples, A, B and C, were grown on MOCVD with different TL structure. All samples consisted of 2.5 μm AlN template on sapphire with 2.0 μm Al0.6Ga0.4N on it. The AlN template was grown by metalorganic chemical vapor deposition (MOCVD) with conventional two-step growth techniques. The growth conditions of AlN template and Al0.6Ga0.4N were identical among three samples, but the junction between these layers varies from sample to sample. In sample A, the AlGaN was directly deposited on the AlN template without any transition layer. In sample B, a 500 nm AlGaN compositional-graded transition layer (CG-TL) was inserted between AlN and Al0.6Ga0.4N. The Al% at the bottom of CG-TL was 85% while that near the top was 60%. In sample C, a 500 nm thickness-graded Al0.85Ga0.15N/Al0.6Ga0.4N superlattice transition served as the transitional layer (SL-TL). In the bottom of SL-TL, the thickness ratio of Al0.85Ga0.15N to Al0.6Ga0.4N in a single pair was 4 to 1, where the ratio inversed near the top. As a result, the average Al composition of SL-TL also graded from high to low. These three samples were characterized by scanning electron spectroscopy (SEM), cathodoluminescence (CL), X-ray diffractometer, and transmission electron spectroscopy. The SEM image of sample A showed high density of humps on the surface, while each humps was decorated with a pit in the center. The size of hump was around 8 μm to 10 μm wide and 10 nm to 15 nm high. Under CL image, the edge of humps showed higher intensity than that of the field. If we probe the CL at point of brighter areas, the peak wavelength was ~4 nm longer than that of dimmer areas, which indicated that Ga segregated at the edge of humps. The brightness contrast originated from the composition inhomogeneity instead of difference in crystal qualities. In comparison, the hump density of sample B was much lower under SEM and the brightness contrast of CL was also less. In sample C, the surface condition was further improved. Some humps in sample C was found in absence of a pit in the center. This implied the pits and humps might be highly correlated parallel phenomena, but they are not in a cause-and-effect relation. The formation of hillocks or macrosteps on AlGaN with parasitic composition fluctuation could be triggered by many causes, for example, large substrate miscut and low supersaturation of III-species on the surface. [4,5] However, the growth condition of Al0.6Ga0.4N among three samples were identical, the morphology shall be triggered by the strain induced surface instability. We proposed the macrostep originated from the formation of misfit dislocations in order to relief the strain energies in the compressive strained AlGaN layers. The humps were a result of morphological evolution in presence of macrosteps decorated with screw-type dislocations. Inserting transition layers would relax the lattice constant prior to the thick AlGaN growth, reducing the onset compressive strain of above layers to some extent. Therefore, the hump densities of sample B and C was reduced compared by A due to a mitigated driving force. The fast-varying composition profile of SL-TL further impeded the dislocation movement, contributing a less strain relaxation during regrowth than the CG-TL. More detailed characterization and discussion will be brought during the conferences. References [1] H. Miyake, G. Nishio, S. Suzuki, K. Hiramatsu, H. Fukuyama, J. Kaur, and N. Kuwano, Appl. Phys. Express 9, 025501 (2016). [2] H.i Hirayama, S. Fujikawa, N. Noguchi, J. Norimatsu, T. Takano, K. Tsubaki, and N. Kamata, Phys. Stat. Sol. (a) 206, 1176 (2009). [3] S. Kurai, F. Ushijima, H. Miyake, K. Hiramatsu, and Y. Yamada, J. Appl. Phys. 115, 053509 (2014) [4] I. Bryan, Z. Bryan, S. Mita, A. Rice, L. Hussey, C. Shelton a, J. Tweedie, J. P. Maria, R. Collazo, Z. Sitar, J. Cryst. Growth 451, 65 (2016) [5] G. Kusch,H. Li, P. R. Edwards,1 J. Bruckbauer,T. C. Sadler, P. J. Parbrook, and R. W. Martin, Appl. Phys. Lett. 104, 092114 (2014).

A.1.4
18:00
Authors : Abby Luo, Troy Baker, Shell, Judy
Affiliations : Abby Luo, Troy Baker, Shell, Judy

Resume : GaN has attracted much interest in recent decades because of its wide bandgap, excellent physical properties, and chemical stability. GaN wafers may enhance the performance of nitride devices such as such as light emitting diodes (LEDs), field effect transistors (FETs), and laser diodes (LD). Hydride vapor phase epitaxy (HVPE) is widely used for preparing GaN crystals because it enables a high growth rate and yields high-quality crystals. In this work, a home-made HVPE system was developed and used to study the fabrication of GaN wafer. The reactor was composed of a resistively heated furnace and used a vertical down-flow geometry. The nozzle was the traditional type which was composed of three concentric tubes: the inner tube was used to flow GaCl, the outer tube was used to flow NH3, and the middle tube was used to prevent the deposition on the nozzle. Nitrogen and hydrogen were used as the carrier gas, and the H2 concentration ranges from 20% to 70%. A 4 inch c-plane sapphire with an interlayer on the surface was placed under the nozzle for growth at 1050-1100C. The 700-900um free-standing 4” GaN substrates were obtained at a growth rate of 100-140um/h. The structural quality was measured by high resolution X-ray diffraction double axis rocking curves using an incident beam monochromator and open detector. The rocking curve full width half maximums were 54 arcsec for the (002) reflection and 52 arcsec for the (102) reflection. The lattice curvature radius of the GaN wafers as measured by XRD can reach about 50m. This paper will present the latest results for growth of free-standing 4” GaN by HVPE. In order to study the growth, the short-time test growth was carried out. All the test GaN wafers were less than 100um. The effects of gas flow influence on the thickness uniformity, growth rate, and quality will be discussed. High quality GaN wafers have be achieved by optimizing the total gas flow, gas velocity in a particular channel, and H2 concentration.

A.1.5
18:00
Authors : Chia-Yen Huang1, Kai-Shiang Chang1, Pei-Yu Wu2, Yun-Hsiang Lin3, Wei-Chih Peng3,Yan-Yu Chang4, Jui-Ping Li4, Hung-Wei Yen5, Yew-Chung Sermon Wu2, and Hao-Chung Kuo1,
Affiliations : 1. Department of Photonics and Institute of Electro-Optical Engineering, National Chiao Tung University,1001 Ta Hsueh Rd., Hsinchu 300, Taiwan R.O.C.; 2. Department of Materials Science and Engineering, National Chiao-Tung University,1001 Ta Hsueh Rd., Hsinchu 300, Taiwan R.O.C.; 3. Research & Development Center,Epistar Corp., 21, Li-hsin Rd., Hsinchu Science Park, Hsinchu 300, Taiwan R.O.C.; 4. Advanced Technology Development Div.,Crystalwise Technology Inc., No.8, Ke bei 5th Rd., Jhunan Science Park, Miaoli County 35053, Taiwan R.O.C.; 5. Department of Materials Science and Engineering, National Chiao-Tung University, No. 1, Sec. 4, Roosevelt Road, 10617, Taipei, Taiwan R.O.C.

Resume : Deep ultraviolet (DUV) light-emitting diodes has attract much attention recently for its potential markets in many aspects. However, the internal quantum efficiency was limited by a poor crystal quality. The light extraction efficiency was also unsatisfactory because of absorption from contact layers and impurities. Therefore, we prepared an AlN template with high-quality and high transparency which is suitable for DUV LEDs. The morphological evolution and its impact on the dislocation densities was also discussed. First, 300 nm thick AlN buffer layer was sputtered on commercial 2-inch single-side polished (0001) sapphire substrates. The AlN buffer layer was annealed under N2 ambient umder 1600 oC and 1700 oC to provoke recrystallization in AlN film while preventing surface reconstruction of the sapphire substrate. [1]2.5 μm AlN was regrown directly on annealed buffer layer by MOCVD. The growth pressure and temperature was 10 kPa and 1300 oC, respectively. To protect the regrowth surface, TMAl and NH3 were injected into chamber during the temperature ramping stage. The AlN growth rate was around 3 μm/hr. The sample was examined by X-ray diffractometer, atomic force microscopy (AFM) in three stages during processing: as deposited film, annealed film and regrown template. The AFM images of the as-deposited film and the annealed film both showed a grain-like morphology in the sputtered-AlN layers. After MOCVD AlN regrowth, the surface morphology evolve to terrace-like macrosteps with step heights of 6 to10 Al-N bilayers. The FWHM’s of (002) rocking curves were much lower than those of (102) rocking curves in all stages. According to the relation between the Burger’s vector and XRD Bragg peakwidth broadening, the TDD in AlN layers were dominated by pure-edge type dislocations. To quantify the densities of edge and screw dislocations, coherent length model developed by Lee et al. was adopted: [2] The calculated screw dislocation densities of as deposited, after annealing and after regrowth were 4.09×108 cm-2, 3.57×107 cm-2 and 2.2×107 cm-2 respectively. And the calculated edge dislocation densities of three stage were 1.28×1011 cm-2, 2.95×109 cm-2 and 9.5×108 cm-2 respectively. The high density of edge dislocation is attributed to the high density of twisted grain boundaries in the sputtered AlN film.[3] After annealing, the TDD of screw-type and edge-type dislocations was only 17% and 3.1% of its original values. The drastic reduction of ρedge was driven by lowering total energy of twisted grain boundaries. Normal grain growth nucleated in the sputter AlN layer. Although the columnar microstructure within buffer layer was mostly eliminated, the trace of grain-like morphology was retained due to a limited atomic migration on the surface. Fortunately, terrace-like morphology can still be achieved after MOCVD regrowth. After proper optimization in growth condition with modulations, this approach is promising for preparing a highly transparent AlN template with TDD < 108 cm-2. The cross-sectional bright-field STEM image showed that dislocations at the top had small inclination angles to the growth normal, while dislocations at the bottom were more inclined away from the growth normal. Abrupt dislocation kinks and dislocation half loops were observed near the bottom. At the initial stage of the regrowth, the surface gradually evolved from grain-like morphology to macrostep terrace-like morphology. As the macrostep swept through a treading dislocation on a grain-like surface, dislocations were bent and pair annihilation was induced via forming half-loops.[4] After terrace morphology dominated, the driving mechanism of dislocation bending also diminished. At this stage, the dislocations propagated with growth direction without much inclination and the reduction of TDD became ineffective. To compare the performance of AlN template in this work with conventional ones, a MOCVD-grown AlN template was prepared by common two-step growth techniques. For brevity, the template with annealed sputter-AlN buffer was named as “sample A” and the one with MOCVD LT-AlN buffer was named as “sample B”. The Absorption rate of sample A was 4% to 8% lower than that of sample B. Since the growth condition of high-temperature AlN was the same, the excessive light absorption in sample B shall be mostly attributed to the buffer layers. According to the Auger electron spectroscopy, only the buffer layer of sample B showed a characteristic energy peak of carbon (272 eV). The high concentration of carbon impurity was attributed to the incomplete pyrolysis of trimethylaluminium (TMAl) precursor under a low-temperature growth. The carbon impurity level of sputtered AlN was low because of the absence of impurity source. According to an investigation from Collazo et al., although the absorption spectrum of carbon impurities peaks around 265 nm, its tail in long wavelength region was also non-negligible when the concentration was high.[5] The carbon impurity of MOCVD-buffer might be reduced by raising its growth temperature of buffer layer. However, the crystal quality of the whole template might be jeopardized. In conclusion, we demonstrated a high-quality and a highly-transparent AlN template on sapphire. The dislocations were firstly reduced by coalescence of twisted grains in the annealing process, and further annihilated by the dislocation bending during morphological evolution in the regrowth process. The TDD of the AlN template has reached ~108 cm-3 level with only 6% light absorption rate in deep-UV region. We believe this approach will be a suitable platform for future development of high-performance deep-UV LEDs. References H. Miyake, G. Nishio, S. Suzuki, K. Hiramatsu, H. Fukuyama, J. Kaur, and N. Kuwano, Appl. Phys. Express 9, 025501 (2016). S. R. Lee, A. M. West, A. A. Allerman, K. E. Waldrip, D. M. Follstaedt, P. P. Provencio, D. D. Koleske, and C. R. Abernathy, Appl. Phys. Lett. 86, 241904 (2005). V. Brien, P. Pigeat, J. Cryst. Growth 299, 189 (2007). J. Bai, M. Dudley, W. H. Sun, H. M. Wang, and M. A. Khan, Appl. Phys. Lett. 88, 051903 (2006). R. Collazo, J. Xie, B. E. Gaddy, Z. Bryan, R. Kirste, M. Hoffmann, R. Dalmau, B. Moody, Y. Kumagai, T. Nagashima, Y. Kubota, T. Kinoshita, A. Koukitu, D. L. Irving, and Z. Sitar, Appl. Phys. Lett. 100, 191914 (2012).

A.1.6
18:00
Authors : R. Garcia-Gutierrez 1, A. Ramos-Carrazco 1, D. Bermant-Mendoza 1, M. Barboza-Flores 1, G. A. Hirata 2, O. E. Contreras 2
Affiliations : 1 Department of Research in Physics, University of Sonora, Hermosillo, Sonora, 83000, México; 2 Center of Nanosciences and Nanotechnology, National University of Mexico, Baja California, 22800, México.

Resume : Among group III nitrides, gallium nitride (GaN) and aluminum nitride (AlN) have been widely study, but knowledge of indium nitride (InN) is still scarce. Currently, GaN has been the most popular nitride due to its applications on blue emitting diodes and UV sensors in the development of optoelectronic technologies. AlN has shown its importance in the UV region mainly for the design of lighting emitting diodes. Currently, researchers have discovering new areas of application in the visible and IR region of the electromagnetic spectra. In this low-energies region, the interest in InN-based materials has grown because of its many potential applications such as solar cells, thermo-photovoltaic converters, transistors, and IR sensors. In this research the substrate effect of the silicon (Si and Au/Si) and silicon dioxide (SiO2 and Au/SiO2) wafers on the growth of InN micro-columns is studied. InN micro-columns are grown by halide chemical vapor deposition (CVD) in a horizontal quartz tube reactor at 600 oC temperature. InN sharp-pointed micro-columns with different inclination angles and perpendicular orientation to the substrate in single- and multi-branched crystals were obtained. Also free-standing InN has been obtained using gold covered silicon dioxide substrate. The morphology and composition of InN micro-columns synthesized in this work were analyzed by electron microscopy, the crystallinity was characterized by X-ray diffraction and, the energy bandgap was measured indirectly by optical absorbance. It has been found that the best substrate to grow InN micro-columns is the gold covered silicon dioxide wafer.

A.1.7
18:00
Authors : Chun-Pin Huang1, Chao-Hung Wang2, Chuan-Pu Liu2, and Kun-Yu Lai1*
Affiliations : 1Department of Optics and Photonics, National Central University, Chung-Li 320, Taiwan, 2Department of Materials Science and Engineering, National Cheng Kung University, Tainan 701, Taiwan *(kylai@ncu.edu.tw)

Resume : Ever since the demonstration of smooth AlN by Ohba et al. in 1996,[1] the growth of high-quality AlN has been intensively pursued by numerous groups. Despite the development progress over the decades, attaining device-quality AlN still remains challenging. One of the hurdles is the high growth temperature. For most commercial epitaxial systems, the high growth temperature (> 1200 ºC) of AlN requires specially designed reactor, which substantially increases the manufacturing cost. Moreover, in order to alleviate the huge lattice strain between AlN and the sapphire substrate, it is often indispensable to insert low-temperature (LT, < 1000 ºC) buffer layers under the high-temperature AlN.[2] The high temperature and long growth time of AlN are among the keys reasons for the pricey ultraviolet light emitting diodes. In this study, we demonstrate a growth tactic to achieve LT-buffer-free yet high-quality AlN with a single substrate temperature of 1180 ºC, which is scarcely reported to date. The high-quality AlN grown with NH3 pulse flow condition is 1.5-?m, and the full width at half maximum (FWHM) of the X-ray diffraction (XRD) (102) peak is 427 arcsec. The AlN layers were grown on c-plane sapphire substrates by metalorganic chemical vapor deposition (MOCVD), employing trimethylaluminum (TMAl) and ammonia (NH3) as the precursors for Al and N, respectively. H2 was used as the carrier gas. Growth pressure and V/III ratio were varied from 50 to 25 mbar, 5623 to 103, respectively. The surface morphologies and crystal properties of the AlN layer were characterized with atomic force microscopy (AFM), scanning electron microscope (SEM), X-ray diffraction scans (XRD) and transmission electron microscopy (TEM). In this work, we grew the three AlN layer structures: (i) the AlN layers grown with conventional continuous flow conditions (CF sample), (ii) the CF sample with single NH3-pulsed-flow layer (SPF sample) and (iii) the AlN layers with double NH3-pulsed-flow layers (DPF sample). For the CF sample, the in-plain epitaxial strain is mitigated by the layers of low-temperature AlN (LT-AlN) and middle-temperature AlN (Mid-AlN), which were grown at 950 ºC and 1030 ºC, respectively. The structure is completed with a high-temperature AlN (HT-AlN) at 1180 ºC. To evaluate the effectiveness of pulsed-flow NH3 on crystal qualities, a 30-nm-thick layer of pulsed-flow AlN (PF-AlN) attained at 1180 ºC was inserted in the HT-AlN of SPF sample. For the DPF sample, the LT-AlN and Mid-AlN layers were replaced with a 2nd PF-AlN inserted in HT-AlN. Growth parameters of the two PF-AlN layers in the DPF sample are identical. It has been shown that the PF condition of gas flows in MOCVD growth can meritoriously improve the lattice structure of III-nitrides with reduced defect densities and smoothened surface.[3,4] The improvement was due to the strain release via the formation of 3D (three-dimensional) nano-islands during the interruption of reactants.[3] After the nucleation of the 3D islands, the interrupted source supply also allows the defective surface to be partially etched by the H ions and promotes lateral atomic migration on the surface so that the occurrence of pits and abnormal epi-structures can be prevented. The PF growth of AlN can be achieved with various off/on combinations of TMA and NH3 supplies, i.e. either or both of the precursor flows can be paused with prudently controlled durations. Here, we adopt a simple combination by merely interrupting the NH3 flow with the off/on = 6-sec/5-sec, while keeping the TMA flow continuous. The surface morphologies of the three samples are analyzed by AFM and SEM images. The root-mean-square (RMS) roughness of CF sample is 2.13 nm, being the highest among the three measured results. The highest RMS roughness on the CF sample is mainly due to the pits densely populated on the surface, which are less apparent in AFM owing to the deep holes occurring below the surface but become more evident in the associated SEM image. As the growth temperature (1180 ºC) of HT-AlN is lower than most of those used for high-quality AlN, it is believed that the dense pits are caused by insufficient atomic migration on the reactive surface. The pit issue is solved on the SPF sample attained by interrupting the NH3 supply during HT-AlN growth. Unlike the case in the CF sample, where the bonding between Al3 and N5- immediately takes place once the ions reach the reaction zone, the Al3 ions decomposed in the NH3 pulse interval are more likely to diffuse to the vacant atomic sites (created either by inherent in-plane strain or by H2 partial etching),[5] and thereby flatten the pitted surface. Summarily, the PF condition not only prolongs the diffusion length of Al3 ions but also ease the build-up of lattice strain by redistributing the atomic arrangement, both of which contribute to the elimination of defective surface pits. More importantly, when the layers of LT-AlN and Mid-AlN are replaced with another PF-AlN, the surface quality is improved even further, i.e. RMS roughness is decreased from 0.97 nm of SPF sample to 0.39 nm of DPF sample, where the 2D (two-dimensional) atomic terraces become finer and denser. The result indicates that the nano-hillocks introduced by the PF growth can serve as the initial nucleation centers to accommodate the huge strain between AlN and sapphire, and the residual strain can be further mitigated by the 2nd PF layer. The improved surface quality by PF-AlN is also confirmed by XRD characterization. All FWHMs of the (002) peaks in rocking curves (?-scans) measured from CF, SPF and DPF samples are about 200 arcsec.Besides, the FWHMs of the (102) peaks are 573, 554 and 427 arcsec respectively. We calculated the densities of the screw and edge dislocations, using the equation N = FWHM2/(4.35|b|2), where N is the dislocation density, b is the Burgers vector. [6-10] The calculated values of Ns (screw dislocation density) are similar among the three samples, and the NE (edge dislocation density) of DPF sample is 49 % less than that of the CF sample. Briefly, the pulse-flow AlN layers more efficiently suppress the growth of dislocations than temperature-varied buffer structures. The role of PF-AlN on the propagation of threading dislocations can be visually revealed by cross-sectional TEM images taken with the SPF and the DPF samples. Because of the similar reasons in XRD characterization, the images of screw and edge dislocations are obtained using the diffraction vectors of g = [002] and g = [110], respectively.[11] The TEM images show that the dislocations bend laterally in the region around the PF-AlN layer, and merge with other dislocations, forming half loops and thus curbing the upward spreading. The difference in dislocation density is further manifested by comparing the TEM images (g = [110]), where the prevalent edge dislocations are found to be considerably reduced in the DPF sample. These TEM findings, echoing with the XRD results, unambiguously demonstrate that the double PF growth scheme can effectively improve the crystal qualities of AlN by suppressing the propagation of threading dislocations. High-quality AlN with the XRD (102) peak width of 427 arcsec was demonstrated by MOCVD at a single substrate temperature of 1180 ºC. The LT-buffer-free and atomically smooth epitaxy was achieved by two separated AlN layers grown with the pulsed NH3-flow condition. The pulsed NH3 supply facilitated crystal nucleation through the formation of 3D nano-islands and flattened the surface via the prolonged atomic migration. The partial H2 etching during NH3 interruption also improved surface quality by removing the defective sites. Replacing the time-consuming LT-buffer with the PF-AlN layers should effectively simplify the growth procedure of device quality AlN, benefiting the production of deep ultraviolet emitters, sensors, and transistors. [1] Y. Ohba, A. Hatano, Jpn. J. Appl. Phys. 1996, 35, L1013. [2] Y. A. Xi, K. X. Chen, F. Mont, J. K. Kim, C. Wetzel, E. F. Schubert, W. Liu, X. Li, J. A. Smart, Appl. Phys. Lett. 2006, 89, 103106. [3] R. G. Banal, M. Funato, Y. Kawakami, Appl. Phys. Lett. 2008, 92, 241905. [4] H. Hirayama, T. Yatabe, N. Noguchi, T. Ohashi, N. Kamata, Appl. Phys. Lett. 2007, 91, 071901. [5] D. D. Koleske, A. E. Wickenden, R. L. Henry, J. C. Culbertson, M. E. Twigg, J. Cryst. Growth 2001, 223, 466. [6] T. Metzger, R. Höpler, E. Born, O. Ambacher, M. Stutzmann, R. Stömmer, M. Schuster, H. Göbel, S. Christiansen, M. Albrecht, H. P. Strunk, Philos. Mag. A1998, 77, 1013. [7] V. Srikant, J. S. Speck, D. R. Clarke, J. Appl. Phys. 1997, 82, 4286. [8] B. N. Pantha, R. Dahal, M. L. Nakarmi, N. Nepal, J. Li, J. Y. Lin, H. X. Jiang, Q. S. Paduano, D. Weyburne, Appl. Phys. Lett. 2007, 90, 241101. [9] S. R. Lee, A. M. West, A. A. Allerman, K. E. Waldrip, D. M. Follstaedt, P. P. Provencio, D. D. Koleske, C. R. Abernathy, Appl. Phys. Lett. 2005, 86, 241904. [10] D. W. Hogan, D. J. Dyson, Micron 1970, 2, 59. [11] D. M. Follstaedt, N. A. Missert, D. D. Koleske, C. C. Mitchell, K. C. Cross, Appl. Phys. Lett. 2003, 83, 4797.

A.1.8
18:00
Authors : Naoki Umehara, Takurou Adachi, Tetsuya Kouno, Hiroko Kominami, Kazuhiko Hara
Affiliations : Shizuoka University

Resume : Hexagonal boron nitride (h-BN) is a wide bandgap (Eg ~ 6 eV) material, witch has a graphite like layered crystal structure consisting of sp2-hybridized honeycomb atomic sheets of boron and nitrogen. Owing to its unique crystallographic property, high electric insulation and efficient deep ultraviolet (DUV) luminescence, h-BN expected to be used as the substrate and the insulating layer for Van der Waals heterostructures, light emitting materials for DUV lamps and so on. To this effect, high quality h-BN thin films are strongly required. However, the fabrication of h-BN thin films on large area substrate such as Si, SiC and sapphire is still challenging. To date, we have achieved the growth of single crystal h-BN thin films on a c-plane sapphire substrate by low pressure (20 kPa) chemical vapor deposition with BCl3 and NH3 as sources. In this paper, we present the dramatic improvement of luminescence property of h-BN thin film grown at 5 kPa. The six-fold symmetry of the grown film was confirmed by the 2θ/Φ X-ray diffraction. The sample showed the pronounced bandedge cathodoluminescence (CL) at room temperature. The spectrum consisted of sharp peaks at 215 nm and a broad band extending from 300 to 400 nm. The former emission can be ascribed to the recombination of free excitons of h-BN if it is compared with 215 nm observed for the h-BN bulk crystal. We will also discuss relationship between CL property and surface morphology.

A.1.9
18:00
Authors : Xiaoliang Gong, Yiming Yang, Fengwu Chen, Wei Wei, Yongdong Shu, Jingying Jia
Affiliations : 48th Research Institute of China Electronics Technology Group Corporation, Changsha, Hunan, P.R. China

Resume : AlN epitaxy layers were grown on c-plane sapphire substrates with high-temperature MOCVD systems developed by ourselves for mass production. High quality AlN layers were obtained through optimization of processing parameters and the structure of epitaxy layer. The results show that increasing the growth temperature with well defined settings leads to improvement of crystal quality of AlN epilayer and reduction of surface roughness. Secondly, the growth rate of AlN epilayer can be controlled by adjusting temperature, reactor pressure and the H2/N2 ratio as a synergic effect. The improvement of crystal quality of AlN epilayer by surface pretreatment before growth and low temperature AlN interlayer are also discussed. In this project, XRD are used to determine the crystal quality of AlN epilayer and it shows that the FWHM of X-ray rocking curve of AlN epilayer (002) has reached 80 arcsec, which meets the requirement of the crystal quality of AlN template layer for optoelectronic devices in ultraviolet region.

A.1.10
18:00
Authors : Haiding Sun,1 Feng Wu,1 Talal M. Altahtamouni,2 Nasir Alfaraj,1 Theeradetch Detchprohm,3 Russell D. Dupuis,3 Xiaohang Li1
Affiliations : 1Computer, Electrical and Mathematical Sciences and Engineering Division, King Abdullah University of Science and Technology (KAUST), Thuwal 23955, Saudi Arabia 2Materials Science and Technology Program, College of Arts and Sciences, Qatar University, Doha 2713, Qatar 3Center for Compound Semiconductors and School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332, USA

Resume : The growth of high-quality AlN epitaxial film relies on precise control of the initial growth stages including the substrate pretreatment and nucleation processes. We investigated the influence of trimethylaluminum (TMAl) pretreatment of sapphire on the polarity and growth mode change of AlN films by metalorganic chemical vapor deposition. It was discovered that the impurities, in particular the carbon had played a significant role during the pretreatment process. Without the pretreatment, no trace of carbon was found at AlN/sapphire interface and the residual oxygen resulted in N-polarity, resulting in rough AlN film surfaces. With 5s pretreatments, carbon started to present, forming scattered carbon-rich zones due to the decomposition of TMAl. It was discovered that carbon attracted surrounding oxygen impurity atoms and consequently, suppressed the formation of N-polarity. Small voids became noticeable at the nucleation layer (NL) as the growth started with quasi-3 dimensional but shifted to 2D mode, leading to smooth and Al-polar films. With a longer pretreatment of 40 s, significant presence of carbon clusters at the AlN/sapphire interface occurred, which attracted considerable co-existed oxygen. While preventing the N-polarity, the carbon clusters served as random masks to further induce a 3D growth mode, creating Al-polar AlN nano-column with different facets. The crystal quality and epitaxial growth mode change caused by the presence of impurities will be addressed.

A.1.11
18:00
Authors : E.B. Yakimov, A.Y. Polyakov, P.S. Vergeles
Affiliations : Institute of Microelectronics Technology RAS, 142432, Chernogolovka, Russia & National University of Science and Technology MISiS, Moscow, Russia; National University of Science and Technology MISiS, Moscow, Russia; Institute of Microelectronics Technology RAS, 142432, Chernogolovka, Russia

Resume : Effects of low energy electron beam irradiation (LEEBI) on optical properties of planar and nanopillar InGaN/GaN muliple quantum well (MQW) light emitting structures were studied by the cathodoluminescence (CL). The structures studied consisted of 3-m-thick Si doped n-GaN, 5 InGaN (2 nm)/GaN (10 nm) QWs, and 0.2-m-thick p-GaN cap. Nanopillars were produced on the similar structure by ICP etching through Ni nanodot mask. 10 keV beam was used for both irradiation and measurements. The dependence of LEEBI effect on the beam energy, irradiation dose and applied bias was studied. It is shown that LEEBI leads to a formation of new InGaN-related emission band red shifted in comparison with initial one. It was shown that the spectrum transformation is stimulated by the excess carriers. The transformation runs in two steps, the first one can be associated with Mg-H pair dissociation. The analysis of results obtained allows to assume that the second step is determined by the stress relaxation in InGaN quantum wells. It was confirmed by the observation that after dry etching the InGaN-related emission peak shifts just after etching to the same energy as that after LEEBI. One of possible mechanism of such relaxation is the dislocation generation and/or redistribution under LEEBI. Our investigations have shown that in GaN LEEBI can enhance the dislocation glide in basal and prismatic planes at room temperature even at beam currents as low as 0.1 nA.

A.1.12
18:00
Authors : Maud Nemoz1, Roy Dagher1, Samuel Matta1,2, Adrien Michon1, Philippe Vennéguès1, and Julien Brault1
Affiliations : 1 Université Côte d’Azur, CNRS, CRHEA, France 2 L2C, UMR 5221, Case courrier 074-34095 Montpellier Cedex 5, France

Resume : AlN thin films, grown on (0001) sapphire substrates by molecular beam epitaxy, were annealed at high temperature (up to 1650 °C) in flowing N2. X-ray diffraction studies, combined with Williamson-Hall and Srikant plots, have shown that annealing leads to a strong reduction of both edge and mixed threading dislocation densities, as confirmed by transmission electron microscopy images, up to 75%. Moreover, it is found that annealing at high temperatures allows the relaxation of the tensile strain in the AlN film due to the growth process. In addition, the morphological properties of the films were determined by atomic force microscopy and show that the annealing conditions have a strong impact on the surface morphology and roughness.

A.1.13
18:00
Authors : R.J. Davies, S. Pace, N.I.M. Nadzri, M.A. Moram.
Affiliations : Department of Materials, Imperial College London, Exhibition Rd., London SW7 2AZ.

Resume : Electron Beam Physical Vapour Deposition (EBPVD) offers many advantages over traditional thin film growth techniques and by harnessing multiple e-beam evaporation sources, our facility is capable of growing a huge range of elements with high purity. Buffer layers, heterojunctions, and contacts can be grown in a single process with a high degree of precision and control, and we have the capability to grow on four inch substrates with high throughput. Gallium nitride is regarded as the most important semiconductor material since silicon. It emits brilliant white light if even a small amount of current is passed through it, and its optical properties can be significantly altered by the addition of In or Al to the composition. Growth of GaN on mismatched substrates is prone to defects such as dislocations; however these can be reduced by adding scandium nitride layers to the films. Here, we highlight the growth of crystalline GaN and ScGaN thin films using EBPVD, demonstrating the quality of the films with XRD, AFM and TEM results, and compare with other growth techniques. To demonstrate the flexilbility of e-beam growth, attention is also given to nitrides of Fe and W which find applications in magnetic materials and hard coatings respectively. Certain properties of both metals present challenges which will be addressed: Fe being ferromagnetic, and W being a refractory metal. XRD patterns and AFM and TEM images from the successful deposition of these materials are presented.

A.1.14
18:00
Authors : Youngsin Park, Yongcheol Jo, Woochul Yang, Hyunsik Im, Seung W. Lee, Mark J. Holmes, Christopher C. S. Chan, Benjamin P. L. Reid, Robert A. Taylor
Affiliations : School of Natural Science, Ulsan National Institute of Science and Technology (UNIST), Ulsan 44919, Korea; Division of Physics and Semiconductor Science, Dongguk University, Seoul 04620, Korea; Institute for Nano Quantum Information Electronics, The University of Tokyo, Tokyo 153-8505, Japan; Clarendon Laboratory, Department of Physics, University of Oxford, Parks Road, Oxford OX1 3PU, UK

Resume : The surface in a solid-state material can have its own electronic structure, often playing an important role in determining electrical, optical, and magnetic properties. The electronic structure of some nanosized materials rely strongly on surface properties, a consequence of their large surface to volume ratios. One-dimensional structures (nanorods or nanotubes) of nanometer-scale gallium nitride are known to have great prospects in fundamental physical science and novel technological applications. The surface in a solid-state material can have its own electronic structure, often playing an important role in determining electrical, optical, and magnetic properties [1]. The enhanced surface to volume ratio in nanotubes, combined with the tube material’s properties, has led to the prediction of many intriguing phenomena [2] and enabled the exploitation of particular desired functions suited to various applications [3]. In most cases, however, the enhanced surface to volume ratio, which unavoidably affects the electronic states in real tube structures, has been overlooked in interpreting observed optical phenomena. GaN nanotubes (GaNTs), which have a semiconductor based nanostructure analogous to that of CNTs, have shown many intriguing optical phenomena both experimentally and theoretically [4]. Because of their large surface to volume ratio the observed optical properties are presumed to be affected by surface states in a nontrivial manner. However, clear validation of the surface properties of GaNTs has not yet been made, largely because many other experimental parameters have to be considered simultaneously. Here, we present nontrivial surface effects on the optical properties of self-assembled crystalline GaN nandrods and nanotubes grown on Si substrates. The observed PL emission line of the GaN nanotube was significantly redshifted by ∼100 meV compared with that of the GaN nanorods or bulk GaN (3.47 eV at 4.2 K). These emissions are assigned to donor bound excitons (D0X) and free excitons (FX). In order to study the optical transition of an individual nanotube with different diameter, the nanotubes were dispersed on a patterned SiO2 substrate. The emission energy slightly redshifts and then saturates. DFT calculations have shown that the conduction band edge is mainly dominated by surface atoms and that a larger number of surface atoms for the tube than the rod structure is likely to increase the bandwidth, thus reducing the optical bandgap. The experimental findings can have important impacts in the understanding of the role of surfaces in nanostructured semiconductors with an enhanced surface/volume ratio. This work was supported by Basic Science Research Program (2015R1D1A1A01058332) through the National Research Foundation of Korea (NRF). 1. M. Corso et al., Science 303, 217 (2004). 2. O. G. Schmidt and K. Eberl, Nature 410, 168 (2001) 3. J. Song et al., Adv. Funct. Mater. 21, 1132 (2011). 4. J. Goldberger et al., Nature 422, 599 (2003).

A.1.15
18:00
Authors : Kohei Ueno, Yasuaki Arakawa, Atsushi Kobayashi, Jitsuo Ohta, Hiroshi Fujioka
Affiliations : Institute of Industrial Science, The University of Tokyo; JST-PRESTO; JST-ACCEL

Resume : Doping in GaN is a key technology to fabricate GaN based optoelectronic devices. In particular, a heavy doping is critical for the realization of reliable and low-resistivity n-type ohmic contacts for AlGaN HEMTs and tunnel junction contacts for LEDs and LDs. For such applications, most highlighted works have been limited to the regrowth of heavily n-type doped layers by MBE, probably because the higher growth temperatures in MOVPE impose thermal damages to the underlying structures. Another promising growth technique is a pulsed sputtering deposition (PSD), which we have originally developed for the growth of device-quality GaN based materials at much lower temperatures than that in MOVPE. The PSD technique enables the growth of the high-quality n-type and p-type GaN with μe and μh of 1008 and 34 cm2V-1s-1 at RT, respectively. Heavily Si-doped GaN also shows a highly conductive characteristic with the [ne] and μe of 2.0×1020 cm-3 and 110 cm2V-1s-1. The resistivity of this film is as low as 280 μΩcm, which is one of the lowest values among the reports for n-type GaN and at the same time is comparable with that of transparent conductive oxide such as ITO. The lower growth temperatures and a high scalability of the sputtering process will be key advantages for the regrowth scheme. In this presentation, we present comprehensive results of the doping in GaN by PSD and also focus the excellent properties of heavily Si and Ge-doped GaN and its application to GaN based devices.

A.1.16
18:00
Authors : Akira Mishima1, Yuji Tomita1, Yoshiki Yano1, Toshiya Tabuchi1, Koh Matsumoto1, and Hideto Miyake2
Affiliations : 1 Taiyo Nippon Sanso Corporation Tsukuba-city, Ibaraki 300-2611, Japan; 2 Graduate School of Regional Innovation Studies, Mie University, Tsu-city, Mie 514-8507, Japan

Resume : To realize high-performance, low-cost deep ultraviolet LEDs (DUVLEDs), high-quality AlN with a large diameter substrate is required for the underlying layers. Recently, an improvement in the crystallinity of an AlN buffer layer was achieved via high-temperature annealing under a N2 gas atmosphere [1]. In this study, we developed an annealing furnace for 4 inch wafers and used it to anneal AlN on a 4 inch c-plane sapphire substrate at a high temperature. The AlN layer was grown to a thickness of 300 nm on a 4 inch sapphire substrate using low-pressure metalorganic chemical vapor deposition (SR4000HT, Taiyo Nippon Sanso). The substrate was then annealed at 1700 °C for 1 h in a pure N2 atmosphere by using the annealing furnace (STA1800, Taiyo Nippon Sanso). Before and after annealing, the AlN surface morphology was observed using an optical microscope, and its crystal quality was evaluated by the full-width at half-maximum (FWHM) of the X-ray rocking curve (XRC). In the optical microscopic images, no significant changes were observed after annealing. Few cracks were observed at the wafer edge by annealing, and the crack length was less than 0.4 mm. By the annealing, the FWHM of the XRC of the (0002) plane was decreased from 448.3 arcsec to 125.0 arcsec, and that of the (10-12) plane was drastically decreased from 1093.0 arcsec to 311.3 arcsec at the center of the wafer. In addition, decreases in the FWHMs of the AlN XRCs were confirmed over the whole surface of the 4 inch wafer. These results indicate that the AlN crystal quality was improved using the annealing furnace. Further, the AlN template fabricated by high-temperature annealing in a N2 atmosphere can facilitate the realization of high-performance, low-cost DUVLEDs. [1] H. Miyake et al., J. Crystal Growth 456, 155 (2016)

A.1.17
18:00
Authors : (1) S.Contreras, L. Konczewicz, S. Juillaguet, H. Peyre, (2) M. Al Khalfioui, S. Matta, M. Leroux, B. Damilano and J. Brault
Affiliations : (1) Laboratoire Charles Coulomb (L2C), UMR 5221 CNRS-Université de Montpellier, Montpellier, FR-34095, France (2) CRHEA-CNRS, Centre de Recherche sur l'Hétéro-Epitaxie et ses Applications, CNRS, Rue B. Grégory, Valbonne 06560, France

Resume : The p-type doping of GaN and other wide-band-gap III-V nitrides is the key for all applications. So far magnesium is the only acceptor dopant which generates sufficient p-type conductivity in a reproducible manner. Contrary to the metal-organic vapor phase epitaxy growth process, in the case of the molecular beam epitaxy (MBE) technique, the Mg acceptor is electrically active in the as-grown material without any additional annealing procedure. In this paper, we present the results of high temperature measurements (300K -1000K) of conductivity and Hall Effect in Mg-doped AlGaN layers with Al composition up to 20%. The samples were grown on sapphire (0001) (c-plane) by MBE in a “Riber 32P” reactor using NH3 as nitrogen precursor and solid sources for the III elements. The layers have been grown on a buffer consisting of GaN (30 nm) and AlN (120-150 nm). The ohmic contacts (Ni/Au) have been deposed in Van der Pauw configuration on the surface of 5x5 mm² sample. The samples were installed inside the furnace in a quartz tube to keep during the high temperature experiments the vacuum better then 10-6 bar. Up to Tc~870 K, we observed a typical thermally activated conduction process and the transport parameters as well as the acceptor activation energies for different Al compositions have been determined. However, for T> 870K some annealing effects occurred for all the investigated samples. The resistivity has decreased as a function of time due to the increase of the free carrier concentration. In addition, this effect is irreversible and the characteristic temperature Tc changes with the Al concentration in the layer. Additionally, low temperature photoluminescence spectra show some evolution of the donor-acceptor pair (DAP) band after the annealing process.

A.1.18
18:00
Authors : N. Ben Sedrine1,*, J. Rodrigues1, A. F. Martins1, D. Nd. Faye2, M. Fialho2, S. Magalhães2, M. R. Correia1, A. J. Neves1, E. Alves2, M. Bockowski3, V. Hoffmann4, M. Weyers4, K. Lorenz2, and T. Monteiro1
Affiliations : 1Departamento de Física e I3N, Universidade de Aveiro, Campus Universitário de Santiago,3810-193 Aveiro, Portugal 2IPFN, Instituto Superior Técnico, Campus Tecnológico e Nuclear, Estrada Nacional 10, P-2695-066 Bobadela LRS, Portugal 3Institute of High Pressure Physics, Polish Academy of Sciences, 01-142 Warsaw, Poland 4Ferdinand-Braun-Institut, Leibniz-Institut für Höchstfrequenztechnik, Gustav-Kirchhoff-Straße 4, 12489 Berlin, Germany

Resume : Rare Earth (RE) elements have been widely used by the scientific community as optically active dopant in group-III nitride-based materials. The triply charged europium (Eu3+) ion is of particular importance thanks to its different intraionic transitions in the red spectral region. Nishikawa et al. reported the first low voltage GaN:Eu LED using in-situ doping during metal organic chemical vapour deposition (MOCVD). However, to the best of our knowledge, no reports were found on Eu implantation in diode structures. It is well known that the impact of ions as well as annealing can cause lattice damage in the as-grown structure. For this reason, in this work we explore the effects of Eu implantation and annealing on the emission properties of AlGaN/GaN-based diode structures. The samples were grown by MOCVD and implanted with two Eu fluences. The as-implanted samples were further submitted to high temperature and high pressure thermal annealing treatments at 1400 °C in 1 GPa N2 pressure. Eu3+ luminescence was observed in all samples with the most intense emission assigned to the 5D0-7F2 transition in the red spectral region. A higher thermal stability of the intra-4f6 lines was found for the sample implanted with the higher Eu fluence, where the red emission can be observed with the naked eye even at room temperature. In addition to the Eu-related emission, the photoluminescence spectra exhibit a broad yellow-green emission typically involving deep defect levels. The optical properties of the modified diode structure are studied in detail in order to determine the effects of RE implantation and annealing on the emission towards the achievement of optoelectronic devices with high efficiencies.

A.1.19
18:00
Authors : N. Ben Sedrine1,*, J. Cardoso1, A. Alves1, A. F. Martins1, A. J. Neves1, D. Nd. Faye2, M. Belloeil3, B. Daudin3, M. Peres2, E. Alves2, K. Lorenz2, M. R. Correia1 and T. Monteiro1
Affiliations : 1Departamento de Física e I3N, Universidade de Aveiro, Campus Universitário de Santiago,3810-193 Aveiro, Portugal 2IPFN, Instituto Superior Técnico, Campus Tecnológico e Nuclear, Estrada Nacional 10, P-2695-066 Bobadela LRS, Portugal 3Univ. Grenoble Alpes, CEA/CNRS Group, “Nanophysique et Semiconducteurs”, F-38000 Grenoble, France

Resume : Group III-nitride semiconductors based on AlxGa1-xN alloys span a wide range of bandgap energies that could enable the realization of laser diodes in the deep UV spectral range with interesting applications such as absorption and luminescence spectroscopy, bioagent detection, medical diagnostics, gas sensing, 3D printing and photolithography. For laser diode applications in particular, Favennec et al. demonstrated that materials with wider bandgaps present lower thermal quenching of rare earth (RE) luminescence, enabling to explore the AlN-rich hosts such as AlGaN. In this work, AlxGa1-xN NWs grown by molecular beam epitaxy on Si (111) substrate, implanted with europium (Eu) ions at the same fluence of 1×1014 Eu/cm2, are studied. The as-implanted samples containing Al, were further submitted to rapid thermal annealing (RTA) treatments in nitrogen for 30 seconds, at two temperatures 1000°C and 1200°C, while the GaN samples were only annealed at 1000°C. Photoluminescence (PL) measurements revealed that Eu3+ luminescence was observed in all samples with the most intense emission assigned to the 5D0 - 7F2 transition in the red spectral region, indicating that such implantation and annealing conditions successfully activated the Eu ions. This transition is more intense for the binaries (GaN and AlN) compared to the ternary alloys (Al0.5Ga0.5N and Al0.7Ga0.3N) NWs. In addition, similarly to previous results observed in AlxGa1-xN layers, the linewidths of the component lines of the 5D0 - 7F2 emission multiplet present variations with Al composition associated to alloy disorder. After annealing the NWs containing Al at 1200°C, the Eu emission intensity at 622 nm was enhanced by a factor of two.

A.1.20
18:00
Authors : Red'ko R.A., Milenin G.V., Red'ko S.M.
Affiliations : V. Lashkaryov Institute of Semiconductor Physics, NAS of Ukraine

Resume : The objects under investigation were the samples of GaN, Si-doped, of n-type conductivity (the concentration of charge carriers was ~ 1.6·1019) with the thickness ~2.2 μm, which were grown using MOCVD on sapphire substrates. Photoluminescence (PL) measurements were carried out at room temperature within the 350–650-nm wavelength range using a Perkin-Elmer LS55 PL spectrometer with an error below 0.5 nm. A source of excitation was light with the wavelength 315 nm. Weak magnetic field treatment (WMF) (B = 60 mT) was chosen for our experiments, with duration of processing 1 (sample 1), 3 (sample 2) and 8 min (sample 3). The initial sample (i.e., not subjected to WMF treatment) served as reference. The long-term transformations of photoluminescence of GaN treated with pulsed weak magnetic fields have been obtained. Evolution processes of migration of decomposition products of metastable defect complexes existing at the interface film-substrate (due to WMF treatment) lead to restructuring in the near-surface region of gallium nitride. These processes result to the transformation of the PL spectra. It is known that the peak position of edge radiation of semiconductors essentially depends on the availability of internal mechanical stresses in the studied system. It was obtained an optimal treatment regime which results in the little shifting of edge band of GaN in blue wave region. The last testifies about decreases of internal mechanical stresses. The restructuring of defect subsystem which resulted to decreases of internal mechanical stresses as well as ascertainment of the detailed picture of the energy interaction of WMF with semiconductor material could be explained by resonance-related approach.

A.1.21
18:00
Authors : Pratim Kumar Saha, Swaroop Ganguly and Dipankar Saha
Affiliations : Indian Institute of Technology Bombay

Resume : Rare earth doped GaN is one of the potential candidates to tune emission wavelength over broad spectrum1,2. 4fn energy levels of rare earth are screened by outer 5s, 5p subshells1 allowing electronic transitions among the levels which hardly changes with ambience. The radiative transitions occur between split energy levels of dopants as consequence of spin-orbit coupling and weak interaction field of host. Gd deposited on GaN by e-beam evaporation was annealed at 300, 8000C(sample 1, 2). Au was etched by piranha from top. XRD ensured peaks for (0002) of GaN, (1120) of sapphire substrate but no peak for complex GdN. SIMS detected Gd3+ upto 7, 9 nm from surface for sample 1, 2, respectively. Hall suggested existence of Gd as trap. Magnetic moment increases with rise in annealing temperature. Temperature dependent PL showed sharp peaks around 692 nm. PL was performed under 532 nm excitation to avoid carrier transfer from GaN bandedge to defect induced levels in bandgap. Peaks can be due to 6GJ- 6Pj related transfer. Very small change of wavelength at peak(0.7 nm) and FWHM(1 meV) with temperature between 19-300 K suggest atomicity of transition. Four peaks of sample 2 merge to two peaks of sample 1 at higher temperature and power(power dependent study) due to partial screening of host field under enhanced carrier generation. Transient at 77 K showed carrier leaking(time constant~nS) through parallel non radiative path at PL peaks position. References: 1 Andrew J. Steckl, Jason C. Heikenfeld, Dong-Seon Lee, Michael J. Garter, Cristopher C. Baker, Yongqiang Wang and Robert Jones IEEE journal of selected topics in quantum electronics, Vol.8, No.4, July/August 2002, pp-749-767 2 L. C. Chao and A. J. Steckl Applied Physics Letters Volume 74, Number 16 19 April 1999

A.1.22
18:00
Authors : S. F. Chichibu[1,2], K. Kojima[1], S. Takashima[3], M. Edo[3], K. Ueno[3], M. Shimizu[4], T. Takahashi[4], S. Ishibashi[4], and A. Uedono[5]
Affiliations : 1 IMRAM, Tohoku University; 2 IMaSS, Nagoya University; 3 Fuji Electric Co. Ltd. ;4 AIST; 5 Univ. of Tsukuba

Resume : To fabricate high breakdown voltage junction diodes and power-switching MOSFETs based on GaN, selective-area fabrication of p-type Mg-doped GaN (GaN:Mg) is indispensable. For this purpose, Mg ion-implantation (I/I) is attractive. However, there have been few reported results on p-type conductivity of I/I GaN:Mg. Here we present steady-state and time-resolved photoluminescence characteristics of epitaxial and I/I GaN:Mg to assign the origins of characteristic emissions. Mg+-ions were implanted into undoped GaN epilayers, creating box profiles of [Mg] at 1x1017, 1x1018, and 1x1019 cm-3. For comparison, GaN epilayers of similar [Mg] were grown by MOVPE. They were fabricated on c-plane GaN substrates grown by HVPE to get rid of extrinsic effects caused by dislocations. Even at low temperature, I/I GaN:Mg exhibited significantly lower intensity with shorter lifetime near-band-edge and ultraviolet luminescences associated with MgGa acceptors than the epilayers, and the green luminescence (GL) at around 2.4 eV was dominant. These emissions were quenched below the room temperature. The results indicate enormous generation of point defects common to GL and nonradiative recombination centers (NRCs) by I/I. Taking the results of positron annihilation measurement into account, N vacancies are most likely culprit to emit GL and compose NRCs with Ga vacancies, (VGa)m(VN)n. As these defects inhibit p-conductivity and cause nonradiative recombination, a method to annihilate them is required.

A.1.23
18:00
Authors : S. F. Chichibu[1], Y. Ishikawa[1], Y. Kominami[2], and K. Hara[2]
Affiliations : 1 IMRAM, Tohoku University; 2 RIE, Shizuoka University

Resume : Hexagonal (h-) BN crystallizes in a 2D honeycomb structure based on sp2 covalent bonds, and the bulk h-BN consists of few- to multilayer crystals and flakes. Since the bandgap energy of h-BN is approximately 6 eV and Watanabe et al. have shown a lasing action at around nm, h-BN has become one of the promising semiconductors for deep UV light emitters. However, the basic question of the nature of the bandgap, either direct or indirect, and the origins of the near-band-edge, defect-originated, or oxygen-enhanced 320-nm emissions remain controversial. As h-BN tends to have stacking faults, nano- and microstructures, and folding that modify the luminescent properties, spatially and temporary resolved luminescence approach is preferred to characterize the material. To comply with, we used spatio-time-resolved cathodoluminescence technique to characterize as-purchased and annealed h-BN microcrystals. The temperature- and excitation power-variable PL and CL spectra near the band edge exhibited fine structures, which can be assigned to phonon replicas of an indirect exciton (iX) originating from M (Ec) and K (Ev) points of the BZ: the corresponding phonons are LA(T)/TA(T), LO(T)/TO(T), LO(T)/TO(T)+nTO(K) (n=1 to 3), where the letters in brackets represent the reciprocal lattice points. These assignments agree well with those given by Cassabois et al, indicating that h-BN has an indirect bandgap. In addition, the CL band at around 4 eV is found to be modified by zone-center LO phonons.

A.1.24
18:00
Authors : Ziguang Ma, Haojun Yang, Haiyan Wu, Wei Hu, Yang Jiang, Wenxin Wang, Haiqiang Jia, Junming Zhou and Hong Chen
Affiliations : Key Laboratory for Renewable Energy, Beijing Key Laboratory for New Energy Materials and Devices, Beijing National Laboratory for Condense Matter Physics, Institute of Physics, Chinese Academy of Sciences

Resume : The material quality severely deteriorates by parasitic reactions when aluminum alloys of III-nitrides are grown in MOCVD systems. A spatial separated source delivery (SSSD) method has been proposed to alleviate parasitic reactions of group III precursors and ammonia (NH3) in the gas phase. High-resolution X-ray diffraction (HRXRD) measurements show that the composition of aluminum rises almost close to the ratio of trimethylaluminum (TMAl) supply. In-situ monitor reflectometer spectra for GaN show that the growth rate gets a 20% increase in comparison with GaN grown by the conventional method. A GaN/AlGaN heterostructure is also prepared to evaluate the uniform electrical properties of GaN and AlGaN grown with this method. The results indicate that the SSSD method provides a better way to prepare high-quality III-nitride materials, especially for AlN and related alloys.

A.1.25
18:00
Authors : Satoshi Kurai, Shota Higaki, Narihito Okada, Kazuyuki Tadatomo, Yoichi Yamada
Affiliations : Yamaguchi University

Resume : We carried out spot cathodeluminescence (CL) measurements of the InGaN multiple quantum well (MQW) structures with In molar fraction of 18 % at room temperature, in which formation of a potential barrier in the vicinity of threading dislocations was confirmed in the past scanning near-field optical microscopy (SNOM-PL), and confirmed the availability of CL measurement on the local potential barriers evaluation near the dislocations. Considering the previous results in SNOM-PL, we acquired a monochromatic CL (MCL) mapping image at 450 nm, which correspond to 150 meV higher than the InGaN emission peak. As a result of 450 nm MCL image, local emissions were observed in the vicinity of the surface pits. Spot CL spectra in the vicinity of the surface pits showed an emission peak at 90-140 meV higher than the InGaN emission observed in the area integrated scanning CL (scan CL) spectrum. On the other hand, the spot CL spectra acquired at the position without surface pits were tend to be similar to the scan CL spectrum. These observations agrees with the previous SNOM-PL results. However, there were points without higher energy emissions even at the surface pits and points with higher energy emissions even at no surface pit, which were different between the SNOM-PL results. We believe that the former is due to the nonuniformity in QWs and/or nonradiative recombination at dislocations and the latter is due to carrier diffusion.

A.1.26
18:00
Authors : Satoshi Kurai, Renma Mihara, Genki Nobata, Kohei Okawa, Narihito Okada, Kazuyuki Tadatomo, Yoshiki Yano, Toshiya Tabuchi, Koh Matsumoto, Yoichi Yamada
Affiliations : Yamaguchi University;TAIYO NIPPON SANSO Corporation

Resume : The relation between potential barriers formed at threading dislocations and internal quantity efficiency in InGaN multiple quantum well (MQW) has been investigated by several groups, but has not yet been clear especially in green-emitting InGaN MQWs. Thus, we investigated nanoscopic spectroscopy on blue and green-emitting InGaN MQWs by scanning near-field optical microscope (SNOM-PL). Samples used in the experiment were MOPVE-grown InGaN MQWs on sapphire substrates. The PL spectra averaged over the entire scanned area showed emission peaks related to GaN and InGaN (InGaN main peak) both in the blue and green-emitting MQWs. In addition, local emission peaks at the higher-energy side of InGaN main peak (high-E emission) appeared only in the green-emitting MQW, but in the blue-emitting InGaN MQW. The emission intensity map acquired on the high-E emission in green-emitting MQW was compared with those on GaN and InGaN main peak. As the results, the InGaN main peak was weak at the positions where the high-E emissions were observed, and there was no clear correlation between the positions of the high-E emission and the dark spots in GaN intensity map corresponding to threading dislocations. It is thought that the high-E emissions are not due to potential barriers, but to nonuniformity in the QWs, indicating careful evaluation is need to classify the local high-E emissions. We will report the differences in the high-E emissions depending on growth conditions.

A.1.27
18:00
Authors : Yuan Lu1, Markus Reusch1, 2, Nicolas Kurz1, 2, Tim Christoph1, Lutz Kirste1, Vadim Lebedev1 and Agnė Žukauskaitė1
Affiliations : 1Fraunhofer Institute for Applied Solid State Physics IAF, Tullastraße 72, 79108 Freiburg, Germany; 2Laboratory for Compound Semiconductor Microsystems, IMTEK-Department of Microsystems Engineering, University of Freiburg, Georges-Koehler-Allee 103, 79110 Freiburg, Germany

Resume : Aluminum nitride (AlN) is nowadays a dominant choice for radio frequency microelectromechanical systems (RF-MEMS) used in mobile telecommunications. However, AlN’s relatively low piezoelectric coefficient d33 =6 pC/N and electromechanical coupling kt2 =7% limit the bandwidth of the frequency filters. Aluminum scandium nitride (Al1-xScxN) has up to 400% higher piezoelectric response d33=27.6 pC/N for x=0.43 [1] and electromechanical coupling kt2 in the range of 10-15%, making it a very promising alternative to AlN for RF-MEMS. To fabricate high performance AlScN-based piezoelectric devices, AlScN films with homogeneous surface properties and reasonable piezoelectric response are required. However, AlScN with comparable crystalline quality can vary greatly in surface morphology, influencing piezoelectric response of the films and affecting the device fabrication. In this work, AlN and AlScN thin films are deposited on Si(100) substrates by pulsed DC reactive magnetron co-sputtering. The crystalline quality is evaluated by X-ray diffraction (XRD). The clamped d33 and polarization domain distribution measurements by the Berlincourt method and piezoresponse force microscopy (PFM) show that misoriented grains lead to a drastic decrease of piezoelectric response. The surface morphology is found to be improved by changing the target-substrate distance and Ar/N2 ratio, resulting in low surface roughness and perfectly c-axis oriented AlScN. [1] M. Akiyama, et al., Adv. Mater. 21(5) 2009.

A.1.28
18:00
Authors : Qiang Li, Feng Yun , Yufeng Li, XilinSu, Wen Ding, Ye Zhang
Affiliations : Key Laboratory of Physical Electronics and Devices for Ministry of Education and Shaanxi Provincial Key Laboratory of Photonics & Information Technology, Xi’an Jiaotong University, Xi’an, China; Solid-State Lighting Engineering Research Center, Xi’an Jiaotong University, Xi’an, China;

Resume : Indium tin oxide (ITO) film with high electrical conductivity and high transmittance in the visible light region is generally used in optoelectronic devices. Recently, the nanostructures of semiconducting metal oxides, such as nanorods, nanowires, and nanobelts have attracted much attention because of their high surface-volume ratio and excellent optical/electrical properties. The ITO-NW networks were fabricated by using electron-beam deposition via polystyrene. The transmittance of ITO-NW networks has improved significantly than ITO bulk film after 400 nm. The transparency of ITO-NWs film at 521 nm increased to 96% while ITO bulk film shows improvement up to 84%. The effective refractive index of ITO-NWs film is change from the bottom to the top with natural and gradual process, ideal material for light extraction enhancement in LEDs as transparent conducting layer. We measured the sheet resistance of both by using four-probe method, the ~16 Ω/□ for ITO film and ~200 Ω/□ for ITO-NWs film. The ITO-NW networks are fabricated on the vertical blue (λ=450 nm) and green (λ=519 nm) LED devices. For blue LED, the operation voltages of R-VLED and NW-VLED are 4.4V, 4.7V at 150 mA, respectively. Moreover, the relative light output power of the NW-VLED shows about 31% enhancement at an injection current of 150 mA comparing with that of R-VLED. For green LED, the operation voltages of R-VLED and NW-VLED are 4.88V, 5.31V at 300 mA, respectively. The relative light output power of the NW-VLED shows about 26% enhancement at an injection current of 300 mA comparing with that of R-VLED.

A.1.29
18:00
Authors : D.Dobrovolskas, J.Mickevičius, T.Steponavičius, S.Nargelas, R.Aleksiejunas, K.Nomeika, T.Malinauskas, M.Kolenda, A.Kadys, and G.Tamulaitis
Affiliations : Institute of Applied Research and Semiconductor Physics Department, Vilnius University, Saulėtekio al. 3, Vilnius, LT-10257, Lithuania

Resume : Growth of high-quality InN films by metalorganic chemical vapor deposition (MOCVD) is difficult due to low dissociation temperature of InN, and inefficient NH3 decomposition at these temperatures. To avoid formation of metallic In droplets, high V/III ratios are used. However, the epitaxial growth at low temperature is difficult due to the reduced migration of the adatoms. As a solution, we use pulsed growth mode, which allows reducing the V/III ratio and enhances the atom mobility of In. We carried out a technological study on the optimization of the growth pulses for MOCVD growth of InN epilayers. The InN epilayers were grown on 3 µm thick GaN/sapphire templates. During the growth, NH3 flux was constant, while trimethylindium (TMI) was delivered in pulses. The pulse duration was varied between 7 and 21 s, the pause between the pulses was changed in the range between 5 and 20 s. The growth temperature was maintained at 570 °C. The structural properties of InN epilayers were studied using XRD and AFM, the optical properties were studied using photoluminescence (PL) spectroscopy and differential transmission techniques. The longest carrier lifetime as well as the highest PL intensity were obtained when TMI pulse length ensured the deposition of ~1.5 monolayer, and the pulses were separated by 20 s pauses allowing In atoms to redistribute. The further improvement of PL properties was obtained by using the optimized pulse timing and increasing the growth temperature up to 630 °C.

A.1.30
18:00
Authors : D.Dobrovolskas, J.Mickevičius, T.Steponavičius, S.Nargelas, R.Aleksiejunas, K.Nomeika, M.Kolenda, A.Kadys, and G.Tamulaitis
Affiliations : Semiconductor Physics Department and Institute of Applied Research, Vilnius University, Sauletekio 3-III, LT-10257 Vilnius, Lithuania

Resume : In spite of considerable efforts on characterization of fundamental properties of InN, the studies of spatial homogeneity in InN are still scarce. In this work, we employ matched images obtained by atomic force microscopy (AFM) and confocal spectroscopy to analyze uniformity of InN epilayers. A series of samples of different layer thickness grown by pulsed metalorganic chemical vapor deposition (MOCVD) technique on GaN/sapphire templates and nominally identical samples grown in conventional MOCVD growth mode are compared. The photoluminescence (PL) peak position of pulsed MOCVD samples continuously shifted from 0.90 to 0.77 eV as the layer thickness increased. A complex evolution of layer homogeneity was revealed by PL mapping: uniform PL intensity distribution in thin layers was replaced by fine structure in thicker layers, and eventually large spatial variations of PL intensity were detected in the thickest InN layers under study. The influence of InN grain size on layer homogeneity is discussed. We show that the layers with a larger grain size exhibit higher optical quality, however, the retention of lateral homogeneity is complicated due to severe layer delamination. The PL results were confirmed by carrier lifetime measurements using differential transmission technique: the carrier lifetime increases with the layer thickness.

A.1.31
18:00
Authors : Maximilian Ries, Norbert Esser, Volker Deckert
Affiliations : School of Analytical Sciences Adlershof (SALSA), Albert-Einstein-Strasse 5-9, 12489 Berlin, Germany, Leibniz Institut für Analytische Wissenschaften–ISAS e.V., Schwarzschildstrasse 8, 12489 Berlin, Germany; School of Analytical Sciences Adlershof (SALSA), Albert-Einstein-Strasse 5-9, 12489 Berlin, Germany, Leibniz Institut für Analytische Wissenschaften–ISAS e.V., Schwarzschildstrasse 8, 12489 Berlin, Germany; Leibniz Institute of Photonic Technology (IPHT), Albert-Einstein-Strasse 9, 07745 Jena, Germany

Resume : Modern LEDs are frequently made of III-nitride structures, with applications ranging from lightning, optoelectronics to life sciences and health care. To allow excellent performances, complex heterostructures must be fabricated with high precision. This study introduces a complementary analysis based on photoluminescence (PL), as well as far-field and tip-enhanced Raman scattering (TERS) of InGaN quantum well (QW) structures. Simultaneous excitation and measurement of PL and far-field Raman modes with an Echelle spectrometer based setup allow for non-destructive investigation of QW thickness, depth position and In-content. Additional information on topography and surface inhomogeneity is obtained via near-field techniques. Combining these methods provides valuable information regarding material properties, surface and interface quality as well as device performance.

A.1.32
18:00
Authors : E.B. Yakimov
Affiliations : Institute of Microelectronics Technology RAS, Chernogolovka, Russia National University of Science and Technology MISiS, Moscow, Russia

Resume : It is well known that in a common case the excess carrier lifetime in semiconductor materials depends on the excitation level. Therefore, for correct measurements by the Electron Beam Induced Current (EBIC) or cathodoluminescence (CL) methods the concentration of excess carriers should be known. In the most cases this concentration is estimated as the generation rate divided by the excitation volume or by the expression obtained by Berz and Kuiken for the maximum excess minority carrier concentration derived for the long diffusion length and the homogeneous generation sphere tangent to the surface. The first approach neglects the excess carrier redistribution via diffusion while the second one assumes that diffusion is the basic mechanism controlling the excess carrier concentration. In the state-of-art GaN the diffusion length is smaller than 1000 nm, therefore, it seems that the first approach is more suitable for such estimation. Nevertheless it is useful to calculate the real excess carrier concentration and to compare it with estimated one. The maximum excess carrier concentration inside the generation volume is calculated for a set of beam energy Eb and diffusion length L values using the real generation function. It is shown that for a negligible depletion region width and beam current of 0.1 nA the maximum excess carrier concentration does not exceed 4×1015 cm-3 at beam energies for the range from 3 to 30 keV and the diffusion length varied from 30 to 1000 nm. At should be mentioned that at small L values the difference between maximum concentration values calculated with the infinite and negligible surface recombination velocities does not exceed two times. With an increase of depletion region width the maximum excess carrier concentration decreases especially for low Eb. Thus, a condition of low excitation under EBIC investigations of GaN can be easily fulfilled practically in all cases excluding semi-insulator material. For a comparison the average excess carrier concentrations estimation has been carried out neglecting carrier diffusion. For small (< 5 keV) Eb the estimated average carrier concentration exceeds the maximum real concentration and at L about 1000 nm the difference can reach a few orders of magnitude. With Eb increasing the difference between these two values decreases. At 30 keV the estimated average concentration is lower than the calculated maximum concentration for all L (L < 1000 nm).

A.1.33
18:00
Authors : Malgorzata Iwinska, Tomasz Sochacki, Boleslaw Lucznik, Michal Fijalkowski, Mikolaj Amilusik, Michal Bockowski
Affiliations : Institute of High Pressure Physics PAS, Sokolowska 29/37,01-142 Warsaw, Poland

Resume : Currently available commercial grade GaN substrates are prepared from crystals grown by HVPE. This method involves crystallization from gas phase and has two advantages – fast growth and high purity of HVPE-GaN. Without intentional doping the material is n-type with free carrier concentration of the order of 10^16 cm-3. However, controllable doping of HVPE-GaN to prepare substrates of specific parameters is still a challenge. In this work influence of two different donor dopants, Ge and Si, on structural, optical, and electrical properties of GaN is presented. Photoluminescence (PL) spectrum of undoped HVPE-GaN contains a weak yellow luminescence (YL) peak. This can be attributed to presence of gallium vacancies (VGa) in the material. When the material is intentionally doped with Si the YL peak increases with Si content. According to SIMS, Raman, and Hall measurements, concentration of Si is always higher than the free carrier concentration in GaN:Si. This shows that part of Si donors is compensated by an acceptor state. The strong YL suggests that this deep acceptor is VGa or its complexes. The assumption is in good agreement with theoretical calculations of energy of VGa formation decreasing for highly n-type material [1]. However, when Ge is incorporated into HVPE-GaN, no YL is observed and there is no significant difference between concentration of Ge and the free carrier concentration. It may suggest that in this case VGa are not formed. Advantages and disadvantages of doping with Si and Ge will be presented and two types of highly conductive n-type HVPE-GaN will be compared. [1] J. Neugebauer and Ch. G. Van de Walle, Appl. Phys. Lett. 69 (4), 503 (1996).

A.1.34
18:00
Authors : K. P. Korona1, M. Sobanska2, F. Sosada1, A. Kamińska2, K. Klosek2, P. Dróżdż1, G. Tchutchulashvili2, and Z. R. Zytkiewicz2
Affiliations : 1 Institute of Experimental Physics, Faculty of Physics, University of Warsaw, Pasteura 5, 02-093 Warsaw, Poland; 2 Institute of Physics, Polish Academy of Sciences, al. Lotnikow 32/46, 02-668 Warsaw, Poland;

Resume : GaN nanowires (NWs) are often used for production of light emitters and other optoelectronic devices. Here we report studies of NWs coated with HfO2 that show some photonic effects interesting for science and promising for applications. The NWs were grown by plasma-assisted MBE. The growth resulted in an ensemble of about 1000 nm long NWs with diameter of 50 - 70 nm. The samples were coated with 10, 20 and 40 nm thick HfO2 shells by atomic layer deposition. Optical properties of such NWs were studied by reflection spectroscopy and time-resolved photoluminescence (TRPL) with use of a streak camera. In order to analyze pressure effects the NWs were measured in diamond anvils up to 10 GPa. The photoluminescence peaks in NWs were shifted 5 and 30 meV for 10 and 40 nm thick HfO2, what revealed significant stress caused by the shells. The TRPL showed that the HfO2-covered NWs had faster transients and higher brightness. The exciton recombination rates at 4 K were 4 and 6 ns-1 for the uncovered and covered samples, respectively, and intensity ratio was 1:2.5. These two observations indicate enhancement of radiative recombination in the core-shell NWs. Moreover, measurements of kinetics vs. temperature confirmed domination of the radiative recombination at low temperatures. The enhancement of emission can be due to photon confinement in ensemble of core-shell NWs and could be beneficial for optoelectronic devices. MS is grateful for support by the NCN grant UMO- 2016/21/N/ST3/03381.

A.1.35
18:00
Authors : Tomasz Sochacki, Malgorzata Iwinska, Boleslaw Lucznik, Michal Fijalkowski, Mikolaj Amilusik, Michal Bockowski
Affiliations : Institute of High Pressure Physics PAS, Sokolowska 29/37,01-142 Warsaw, Poland

Resume : HVPE is the most commonly used crystallization method for GaN crystals. One of HVPE’s assets is the high purity of grown material. When no intentional doping is applied, HVPE-GaN is n-type with free carrier concentration of the order of 10^16 cm-3. Controllable doping of HVPE-GaN to prepare substrates of specific parameters remains challenging. This work presents influence of different acceptor dopants on structural, optical, and electrical properties of GaN. In case of undoped HVPE-GaN a weak yellow luminescence (YL) peak is observed in the photoluminescence (PL) spectrum. The YL can be associated with gallium vacancies (VGa) in the material. When C is introduced to HVPE-GaN, using CH4 as a precursor, a strong YL peak is observed in PL spectra. The material is highly resistive (>10^8 Ωcm) at 296 K. Hall measurements performed up to 1000 K showed p-type conductivity with hole concentration 4×10^15 cm-3. Activation energy of ~1 eV was calculated from Arrhenius plot. This is an experimental confirmation of DFT calculations performed for C substituted for N [1]. It is also an explanation for strong yellow luminescence (YL) present in PL spectra for GaN:C in this case not related to VGa but to C. When a solid iron source was used for doping, no YL is visible in PL of these samples and only a peak from internal transition of Fe3+ at ~1.3 eV is noticible. Calculated activation energy is ~1.8 eV and it suggests the presence of a different deep acceptor in the material. This prediction was confirmed by SIMS measurements. A significant concentration of Mn, originating from the source of Fe, was detected. The crystallized HVPE-GaN, co-doped with Mn and Fe, was highly resistive at 296 K and n-type at 1000 K with carrier concentration of 2×10^13 cm-3. Properties of highly resistive HVPE-GaN with different acceptors used will be discussed and compared. [1] J. L. Lyons, A. Janotti, and C. G. Van de Walle, Appl. Phys. Lett. 97, 152108, (2010).

A.1.36
18:00
Authors : O. Rettig1, J.-P. Scholz2, N. Steiger2, S. Bauer2, T. Hubáček1,4, Y. Li3, H. Qi3, J. Biskupek3, U. Kaiser3, K. Thonke2, F. Scholz1
Affiliations : 1) Institute of Optoelectronics, Ulm University, Albert-Einstein-Allee 45, 89081 Ulm, Germany; 2) Institute of Quantum Matter / Semiconductor Physics Group, Ulm University, Albert-Einstein-Allee 45, 89081 Ulm, Germany; 3) Central Facility of Electron Microscopy, Ulm University, 89081 Ulm, Germany; 4) Permanent address: Institute of Physics CAS, v. v. i., Cukrovarnická 10, 162 00 Prague 6, Czech Republic

Resume : UV-C LEDs are very promising candidates for cheap, efficient and reliable light sources for many applications including disinfection, water purification etc. However, in such LEDs based on AlGaN hetero structures, the external quantum efficiency still does not exceed 10%. One major obstacle is the lattice mismatch in such heterostructures. By introducing the scarcely investigated quaternary alloy AlBGaN, an additional degree of freedom in strain management and bandgap tailoring can be utilized. Due to poor solubility of boron in AlGaN and the consequently inferior crystal quality compared to AlGaN, we focus on the investigation of AlBGaN layers with low boron content. By carefully optimizing AlN templates grown by MOVPE, narrow XRD peaks with FWHM below 80 and 1200 arcsec for the (0002) and (10-12) ω-scan, respectively, have been obtained on 500 nm thick layers. To optimize AlBGaN growth on such templates with a B content up to 5%, we varied the growth conditions such as temperature, TEB/(TEB+TMAl+TMGa) flow and V/III ratio. Moreover, pulsed precursor supply is investigated to avoid pre-reactions in the gas phase and improve surface mobility. These structures are analysed by XRD, AFM, SEM, TEM, and PL and are steadily improved with the aim to make them applicable for quantum wells in high efficiency optical devices. Investigations on thin layers are performed to understand nucleation processes and the formation of surface roughness and defects.

A.1.37
18:00
Authors : Bastien Bonef 1 , Richard Cramer 1 , James S. Speck 1
Affiliations : 1 Materials Department, University of California, Santa Barbara, CA 93106, USA

Resume : BGaN ternary alloy has become a promising material in the field of solid state lightning and power electronics. It has a band gap in the UV spectral region making it a potential candidate for next generation UV laser devices [1]. Also, it can be used as a back barrier in high electron mobility transistors structures to improve the confinement of the 2D electron gas, reduce buffer leakage and improve the structural quality of the GaN channel [2]. Finally, the introduction of B0.18Ga0.88N between GaN and 6H-SiC reduces their lattice constant mismatch [3]. Yet growing BGaN in a wide range of composition remains a challenge. The large lattice mismatch between BN and GaN triggers phase separation even for boron fraction below 0.05 and growth conditions need to be carefully investigate to obtain a chemically and structurally homogenous layers. However, due to its low atomic number, quantifying boron with microscopy based techniques remains a challenge. This study deals with a structural and compositional characterization of BGaN with atom probe tomography (APT) and transmission electron microscopy. Two samples with boron fractions equals to 0.030 and 0.053 and grown by molecular beam epitaxy (MBE) are investigated. TEM cross-sectional samples and APT tips were prepared by dual-beam FIB/SEM by the standard lift-out method. Atomic TEM images were obtained in a FEI Titan microscope operated at 300 kV. APT analysis was performed with a Cameca 3000X HR Local Electrode Atom Probe (LEAP) operated in laser-pulse mode. Atom probe tomography calibration experiments were first performed on BGaN to find the proper evaporation conditions and avoid detection artifact. These are well known to affect the quantification of nitride semiconductors by APT [4]. Different laser pulse’s power and evaporation rates were tested to induce changes in the field applied on the APT samples. The possibility to measure the charge state ratio between Ga++ and Ga+ ions allow for an estimation of the intensity of the field. At a high field applied on the tip, we measured an over-estimation of the boron concentration in the APT volumes compared to X-ray diffraction measurements. Consequently, a low field is suggested to avoid the loss of Ga atoms and the over-estimation of the boron fraction. APT results were finally in good agreement with X-ray diffraction data and the evaporation condition can be reproduced for the evaporation of other BGaN samples. A random distribution of boron and gallium atoms is obtained in B0.030Ga0.070N by statistical distribution analysis. TEM images and associated diffraction patterns also revealed that the layer has a wurzite structure with no trace of zinc blende clusters. On the opposite, in the B0.053Ga0.947N sample, B and Ga distribution do not fit with a binomial distribution and the alloy is not random. Zinc blende clusters embed in the wurtzite matrix are also evidenced on TEM images and diffraction patterns. In this study, the limitation of TEM for the quantification of low atomic number elements is compensated by the detection efficiency of APT. APT being unable to resolve atomic planes in BGaN, TEM is employed to verify the integrity of the crystallographic structure. The results obtained from this nanometer scale structural study will allow for the optimization of BGaN growth conditions but also evidence the power of APT and TEM correlated investigations. [1] Sakai, S. et al. (1993). Japanese journal of applied physics, 32(10R), 4413. [2] Ravindran, V. et al. (2012). Applied Physics Letters, 100(24), 243503. [3] Wei, C. H. et al. (2000). Journal of electronic materials, 29(4), 452-456. [4] Rigutti, L. et al. (2016). ScriptaMaterialia

A.1.38
18:00
Authors : Rajiv K.Singh, Arul Chakkaravarthi. Arjunan
Affiliations : Sinmat Inc Gainesville Fl 32653 -USA

Resume : The performance of GaN based high frequency and high power devices are limited thermally. Further, enhancement in the performance of the devices is possible with the implementation of better thermal management systems in these devices. By integrating high thermal conductivity diamond closer to these devices 3X more power can be handled compared to the current devices by reducing the heat during operation. Currently, diamond can be grown as a thin film form on large area substrates up to 4 inches easily. However, such substrates with diamond film thickness greater than 30 um thickness with thermal conductivity > 12 W/(cm·K) have high surface roughness that inhibits direct integration. Recently, many research groups have attempted integrating diamond with the GaN substrates by using a layer of soft interfacial (> 50nm) material to circumvent the diamond surface roughness. Such a thick interfacial layer increases the thermal interfacial resistance and reduces the heat extraction ability of the GaN on diamond substrates. Thus, current methods are ineffective in reducing thermal problems associated with GaN power devices. In the recent years, we have developed a chemical reactive polishing that can polish the diamond substrates to achieve roughness below 0.5 nm. Further we developed a polishing process for N-face GaN substrates to achieve roughness up to 0.3 nm. Achieving such a low roughness and ultra-flat surfaces on diamond and GaN surface enables the fabrication of efficient GaN on diamond substrates with better integration due to low interfacial layer thickness. In this conference, we will present the methods of polishing diamond and gallium nitride, surface finish and its applicability in fabricating GaN on diamond substrates for high power applications.

A.1.39
18:00
Authors : D.V. Nechaev1, M.V. Rzheutski2, E.V. Lutsenko2, S. Rouvimov3, S.V. Ivanov1, and V.N. Jmerik1
Affiliations : 1Ioffe Institute, Polytekhnicheskaya 26, St. Petersburg 194021, Russia; 2 Stepanov Institute of Physics, Nezalezhnasti Ave. 68, Minsk 220072, Belarus; 3 University of Notre Dame, Notre Dame, Indiana 46556, USA

Resume : Efficiency of ultraviolet (UV) radiative recombination in AlGaN layers can be improved by implementation of the carrier localization effects, as it has been naturally realized in InGaN-based devices. But in the thermodynamically stable AlGaN alloys the introduction of short-range compositional inhomogeneities requires additional technological efforts. Here we demonstrate formation in the AlxGa1-xN layers (x=0.4-0.9) of ultra-thin (one-monolayer(ML)-thick) Ga-enriched quantum disk-like insertions with vertical spacing of a few nanometers, as a result of relatively slow substrate rotation in a plasma-assisted MBE setup operated at the metal-rich growth conditions with the nitrogen flux diagram shifted relatively to the group-III flux diagrams. The microstructure of the AlGaN layers and its variations along the substrate radius will be analyzed as function of N-flux spatial distribution as well as the substrate rotation speed, growth rate, and Al mole fraction. Significant variation of AlGaN chemical uniformity from the homogeneous layers to the ML-scale fluctuating morphology along growth direction (0001) is demonstrated using a high angle annular dark field scanning transmission electron microscopy. Optical adsorption spectra and temperature dependent photoluminescence spectra for the layers are used to confirm the appearance of the strong localization effects in the inhomogeneous AlGaN layers. Finally, optically-pumped UV-stimulated emission within a wavelength range of 258-290nm and the minimum threshold power density of 240kW/cm-2 (λ=287nm) is demonstrated for 100-nm-thick AlxGa1-xN(x=0.6-0.7) layers with the ML-thick quantum-disk like compositional inhomogeneities.

A.1.40
18:00
Authors : Q.K. Wang, J.Wang, J.W. Gong, L.X. Liu, K. Cao, Z.H. Wang, L. Wu
Affiliations : State Key Laboratory of Advanced Special Steel & Shanghai Key Laboratory of Advanced Ferrometallurgy & School of Materials Science and Engineering, Shanghai University, Shanghai 200072, China; Suzhou Ultratrend Technologies Co. Ltd, Suzhou City 215699, Jiangsu Province, China

Resume : As an ultra-wide bandgap (6.2eV) semiconductor material, Aluminium nitride(AlN) substrate is very promising to realize high efficiency deep ultraviolet light-emitting diodes (UV-LEDs) which are of considerable interest for applications such as light sources for sterilization, medicine, biochemistry and high density optical recording etc.. The most reasonable approach to produce large-size and high-quality bulk AlN single crystals is the physical vapor transport (PVT) method. In this paper, we analyzed the volatiles deposited on furnace walls after the ALN sintering and PVT crystal growth process by XRD. The sintering and PVT crystal growth process were both performed on an in-house designed hotzone and growth reactor, and a detailed description of the in-house growth reactor with a pure tungsten hotzone setup is given in Ref. [1]. Our experiments are as follows: prior to single crystal growth by PVT method, the AlN source powder was sintered in high-purity nitrogen atmosphere at 1100°C, 1600°C and 1920°C for 8h, 8h and 10h respectively and then reduced to room temperature. The obtained sintered ALN ceramics was employed as source material for final PVT growth around 2100°C -2200 °C by novel spontaneous technique proposed by us. Our XRD results show that volatiles deposited on furnace walls are both mixtures mainly made up of Al2SiO5, BN and WO3·0.5H20 after the sintering and crystal growth process. Detailed XRD results together with the impurity sources and possible disproportionation reactions to generate these volatiles will be explained in great details. References: 1.Z.H. Wang, X.L. Deng, K. Cao, J. Wang, L. Wu, J. Crystal Growth, 2016.12, In Press. 2.J.H. Edgar, L. Du, L. Nyakiti, J. Chaudhuri, J. Crystal Growth, 310 (2008) 4002-4006. 3. B.M. Epelbaum, C.Seitz, A. Magerl, M. Bickermann, A. Winnacker, J. Crys. Growth. 265 (2004) 577?581. 4.Q. Li, I.W. Kim, S.A. Arnett, L.D. Marks, J. Mater. Res.17 (2002) 1224. 5.Y. Zhang et al, Materials Chemistry and Physics 184 (2016) 233-240. 6.W.R.L. Lambrecht, B.Segall, Phys. Rev. B 43 (1991) 7070.

A.1.41
18:00
Authors : H. Yagi, N. Osumi, Y. Inoue, T. Nakano
Affiliations : Dept. of Electronics and Materials Science, Shizuoka Univ., 3-5-1 Johoku, Hamamatsu, Japan

Resume : GaN with wurtzite-type crystal structure has two polarities along c-axial direction, corresponding to gallium (Ga) and nitrogen (N) polarities. For both polarities, the sign of second-order nonlinear susceptibility is reversed. Using this property, GaN quasi phase matching (QPM) structure can be realized. The GaN-QPM crystal is expected to be applied to the second-harmonic generation (SHG) devices for ultra-violet laser application. In previous research, we have studied double polarity selective area growth (DP-SAG) using patterned carbon mask having repetition of 120 μm. In this study, we tried to fabricate narrow pitch (20 μm) DP-GaN and evaluated the growth mechanism. DP-GaN was grown on the c-sapphire substrate patterned carbon mask by metal organic vapor phase epitaxy (MOVPE). The initial Ga-polarity GaN layer was first grown selectively on unmasked sapphire surface. After growing the initial layer, the carbon mask was removed by NH3 etching and AlN was formed on the exposed sapphire surface by nitriding with NH3. Then the growth of GaN on the entire surface resulted in the selective double polarity growth. We evaluated the DP-GaN surface by SEM after KOH etching. Periodically dissolved region was observed. We found that only N-polarity GaN in DP-GaN was etched. This result indicates that fabrication of DP-GaN in narrow pitch pattern was succeed. We further evaluated the narrow pitch DP-GaN by KFM, and observed periodic surface potential difference as well. The present difference of surface potential was smaller than that of former wide-pitch DP-GaN. This difference was caused by partial over growth of N-polarity crystal on the Ga-polarity region.

A.1.42
18:00
Authors : Toshiya Yokogawa and Syota Miyake
Affiliations : Yamaguchi University

Resume : Recently optoelectronic devices and electronic power devices using GaN-based materials have attracted much attention because they exhibit high optical and electrical power with high efficiency due to the wide band-gap. These power devices are generally used in high temperature operation by the heat dissipation. For high device reliability, it is important to design the mounting structure for heat sink to obtain efficient heat spreading. Carbon nanotube is expected to be excellent heat conductor for heat spreading because of extremely high thermal conductivity. Therefore, it is thought that carbon nanotube is very useful for electrode material with high thermal conductivity in the GaN device. Recently, we reported on the large workfunction of metallic multi-wall carbon nanotubes (MWCNTs). And we concluded that there is possibility to exhibit ohmic property to p-GaN. In this paper, we demonstrate low contact resistance of the MWCNTs ohmic contact to p-GaN film and LED operation with low voltage. We confirmed the work function of the metallic MWCNTs was determined to be 4.84 eV as high as that of Au, Pd and Ni which are generally used for the p-GaN contact. The specific contact resistance values of the MWCNTs/p-GaN interface were measured by the transfer length method. The MWCNTs electrode layer was prepared on the p-GaN. Finally, the specific contact resistance of the MWCNTs electrode was as low as 2.6×10-3 Ωcm2. We confirmed low contact resistance of the MWCNTs ohmic contact to p-GaN film. We also characterized the properties of LEDs using the MWCNTs ohmic contact for p-GaN. Low operation voltage was successfully obtained. Threshold voltage was about 2.7 V, and high optical power of about 1 W was also obtained.

A.1.43
18:00
Authors : X.Q. Shen, T. Takahashi, T. Ide, and M. Shimizu
Affiliations : National Institute of Advanced Industrial Science and Technology (AIST), Japan

Resume : Heteroepitaxial growth of high-quality III-nitrides on Si substrates is of great interest due to its low-cost, large size availability and suitability in integration with Si electronics. Since the large mismatches both in the lattice constant (LC) and the coefficient of thermal expansion (CTE) between GaN and Si, it is difficult to grow crack-free thick GaN epilayers on Si substrates. In addition, conventional low-temperature GaN buffer layer can not be applied to the direct growth on Si due to the melting back problem. Therefore, GaN epilayers grown on Si substrates always shows poor quality comparing with those grown on sapphire substrates. It is a great challenge to grow high quality GaN epilayer on Si substrates by designing the structures on the AlN seed layer. Conventionally, relaxation structures, such as graded AlGaN and (Al,Ga)N/AlN superlattice, are grown before the GaN epilayer growth in order to modulate the strain to avoid the crack generation. We have proposed an ultra-thin AlN/GaN superlattice interlayer (SL IL) structure for the strain compensation in the GaN epilayer grown on Si substrates.[1-3] However, it is not clear whether such an ultra-thin SL structure is effective in improving the epilayer quality or not. Thus, it is necessary to investigate the effect of the ultra-thin SL structure on the epilayer quality improvement. In this paper, we report the characterization results of the GaN epilayer grown on Si(110) substrates by designing the ultra-thin AlN/GaN SL involved buffer layer in the sample structure. It is found that the GaN epilayer quality is sensitive to the SL position in the sample structure. As a result, high quality GaN epilayer (~2.0 m thick) with excellent HRXRD FWHM values ( (002): 349 arcsec, (102): 590 arcsec) is achieved by growing the ultra-thin SL structure on AlN seed layer, which is a comparable result with those grown on sapphire substrates. The samples were grown on 4-inches Si(110) substrates by MOCVD. The GaN epilayers (~2.0 m thick) were grown on three kinds of buffer layer structures, which are described below. The buffer layer structures on Si(110) substrates are SL/GaN/AlN(seed layer), SL/AlN(seed layer) and AlGaN/AlN(seed layer), respectively. The SL consists of AlN(2.0~3.0 nm)/GaN(1.0~4.0 nm) with 20~60 periods, which can be treated as a quasi-AlGaN layer. AlGaN layers with similiar Al composition were grown for comparison. AFM, HRXRD and STEM were used to characterize the surface morphology, the structural quality, the strain state and the micro-structure in the samples. The surface morphologies are characterized by AFM. It is observed that the GaN surfaces are flat with atomic steps. The structural quality of the GaN epilayer is characterized by HRXRD. It is found that the GaN epilayer quality is sensitive to the SL position in the sample structure. Samples with the SL directly grown on AlN seed layer (SL/AlN(seed layer)) show the best structural quality among the three kinds of samples. The FWHM values of the HRXRD rocking curve ( (002): 349 arcsec, (102): 590 arcsec)) from a ~2.0 m thick GaN epilayer grown on SL/AlN(seed layer) buffer layer structure indicate the realization of high structure quality GaN epilayer on Si substrates, which are comparable to those grown on sapphire ones. Cross-sectional STEM observations of the microstructures in the SL show interesting phenomenon concerning the strain-related growth mode transition, which is believed to play a role in the quality improvement of the GaN epilayer. Details of the characterization results and discussions will be presented at the conference. REFERENCES: [1] X. Q. Shen, T. Takahashi, H. Kawashima, T. Ide, and M. Shimizu, Appl. Phys. Lett. 101, 031912 (2012). [2] X. Q. Shen, T. Takahashi, X. Rong, G. Chen, X. Q. Wang, B. Shen, H. Matsuhata, T. Ide and M. Shimizu, Appl. Phys. Lett. 103, 231908 (2013). [3] X. Q. Shen, T. Takahashi, H. Matsuhata, T. Ide, and M. Shimizu, CrystEngComm, 17, 5014 (2015).

A.1.44
18:00
Authors : Hae-Gon Oh, Young Jun, Choi, Seoug-Kuk Lee, Hae-Yong Lee, Ju-Hyung Ha, Jung-Young Jung, Jonghee Hwang
Affiliations : LumiGNtech Co., Ltd, Room 902, E-Dong, 60, Haan-ro Gwangmyeong-si, Gyeonggi-do, 14322 Korea; Korea Institute of Ceramic Engineering & Technology (KICET), Jinju-si, Gyeongsangnam-do, 52851 Korea

Resume : Bulk GaN wafers grown by HVPE are quite a lot traded in the market, due to high growth rate and ability of producing a wide area wafers. However, HVPE method has some problems due to using foreign substrates like sapphire, SiC, Si, etc and quartz ware to be used as components of HVPE. These are responsible for a high dislocation density and possibility of impurity incorporation which is suspected to initiate V-pit formation and to have significant curvature. Also, the impurity incorporation should have a negative influence on the exciton resonance and the crystal lattice, so the absorption band edge might be broaden and shifted, the curvature of freestanding bulk GaN get worse. Among them, the curvature of freestanding bulk GaN should be a serious obstacle to wafer process and has a variety values for the wafer radius of curvature. Several authors have reported on the cause of the curvature and the improvement method, but it is not clearly solved what can make such differences to the curvature in the freestanding bulk GaN. In this work, we will focus on the impurity incorporation why the difference of the curvature in the freestanding bulk GaN is occurred. To confirm the relation between the impurity and the curvature, the contents of impurities and bowing were examined by TOF-SIMS and Surface profiler(Dektak 150), respectively. And the curvature was calculated by following equation: B=C(1-cos⁡(C∙r)). Also, Electrical properties was measured by contactless conductivity measurement(LEI 88) to check through a lot of data. In conclusion, the analysis represent that the curvature is closely related to the impurity incorporation.

A.1.45
18:00
Authors : Zhibin Liu, Ryosuke Miyagoshi, Shugo Nitta, Yoshio Honda, Hiroshi. Amano,
Affiliations : Department of Electrical Engineering and Computer Science, Nagoya University; Institute of Materials and Systems for Sustainability, Nagoya Univ.; Akasaki Research Center, Nagoya Univ.; Venture Business Laboratory, Nagoya Univ.;

Resume : Optoelectronic devices with high-In-content InGaN have very low EQE due to poor quality of the InGaN layer [1]. One of the major issues is rough morphology of high-In-content InGaN layer caused by large lattice mismatch between GaN and InN. To solve this problem, quantum dot (QD) structure has been adopted with no extended defect, low strain, and high In content for long wavelength devices. InGaN QDs growth on GaN/sapphire has been reported [2]. GaN substrate has lower dislocation density and smoother surface than that of GaN/sapphire, which is beneficial to reduce morphological disorder of InGaN layer. However, InGaN QD growth on GaN substrate has not been investigated systematically yet. In this study, we demonstrated high-In-content InGaN growth on GaN substrate by metalorganic vapor phase epitaxy and discuss the relationship between the morphology of InGaN layer and growth condition. For InGaN grown at 670℃, the flat surface with unclear step edge is dominated with growth rate of 0.017nm/s. With the increase of the growth rate up to 0.060nm/s, three-dimensional islands structure were formed along the step edge. The size of these islands was similar to the terrace width of underlying GaN layer. With growth rate of 0.135 nm/s, dot structure with the diameter of 50 nm and the height of 3.5 nm was observed. The density of these dots is 1.5×109 cm-2. These results are expected to improve the quality of high-In-content InGaN layer for long wavelength photoelectric device. Acknowledgement: This work was partially supported by JSPS KAKENHI (16K06260) and the JST SICORP V4-Japan research projects. [1] B. Damilanol and B. Gil, J. Phys. D: Appl. Phys. 48 (2015) 403001. [2] K. Tachibana, T. Someya, and Y. Arakawa, Appl. Phys. Lett. 74, 383 (1999).

A.1.46
18:00
Authors : Kyohei Umezawa, Eiji Kobayashi, Hideaki Murotani, Satoshi Kurai, and Yoichi Yamada
Affiliations : Department of Electrical and Electronic Engineering, Yamaguchi University, Japan

Resume : The characteristics of excitonic photoluminescence (PL) from Ga-rich InGaN epitaxial layers have been studied by means of scanning near-field optical microscopy (SNOM). SNOM-PL measurements with high spatial resolution (~ 30 nm) enabled us to observe the biexciton luminescence from InGaN epitaxial layers, which has never been observed by macroscopic PL measurements. The energy separation between the exciton and biexciton luminescence, which was an approximate value of the biexciton binding energy, was estimated to be 15.5 meV for the ternary alloy with an indium composition of x=0.05. This value was approximately three times larger than the biexciton binding energy in GaN. The large increase in biexciton binding energy resulted from the strong biexciton localization due to alloy disorder. We have also observed the biexciton luminescence from Ga-rich AlGaN epitaxial layers. The energy separation between the exciton and biexciton luminescence was estimated to be 10.6 meV for the ternary alloy with an aluminum composition of x=0.057. Then, the energy separation in InGaN was larger than that in AlGaN in the Ga-rich range. Furthermore, we clarified that a large bowing existed in the compositional dependence of the biexciton binding energy for both InGaN and AlGaN ternary alloys. The large bowing was well explained by considering the theoretically calculated alloy broadening of the exciton linewidth in completely disordered ternary alloys.

A.1.47
18:00
Authors : J. S. Reparaz[a], K. Pereira da Silva[a,b], M. R. Wagner[c], G. Callsen[c], J. Serrano[d], A. H. Romero[e], A. Hoffmann[c], A. R. Goñi[a,f]
Affiliations : [a] Institut de Ciència de Materials de Barcelona (ICMAB-CSIC), Campus UAB, 08193 Bellaterra, Spain [b] Faculdade de Física, Universidade Federal do Pará, CEP 66075-110, Belém, PA, Brazil [c] Institut für Festkörperphysik, Technische Universität Berlin, Hardenbergstr. 36, 10623 Berlin, Germany [d] School of Physics Yachay Tech, Yachay City of Knowledge 100119-Urcuqui, Ecuador [e] Physics & Astronomy Department, West Virginia University, USA [f] ICREA, Passeig Lluís Companys 23, 08010 Barcelona, Spain

Resume : Group-III nitride semiconductors and their alloys constitute a material system of paramount importance in nowadays optoelectronics. The large tunability of their bandgap implies an increase in the lattice mismatch with respect to the commonly used substrates as In concentration increases. As a consequence, the built-in strain increases as well. Hence, the knowledge of the dependence on pressure of key parameters that determine the optical, electronic and vibrational properties of these materials is technologically important. Here we report the pressure dependence of five optical vibrational modes [A1(TO,LO), E1(TO,LO), E2high] of wurtzite InN from Raman experiments up to 8 GPa at room temperature. For that purpose we used two crystal cuts: a- and c-plane. In addition, we performed ab-initio calculations within the local density approximation (LDA) of the vibrational modes under pressure. We find fairly good agreement between calculated and measured pressure coefficients of mode frequencies. A striking result concerns the observed strong increase in the LO-TO splitting for both the A1 and E1 modes. In spite of that, the associated transverse effective charges e*T decrease under pressure at a pace which fits very well within a systematics previously observed for the other two stoichiometric nitrides and ZnO. We further discuss the pressure dependence of the linewidth of the E2high and transverse modes in terms of the pressure dependence of the 2-phonon density of states.

A.1.48
18:00
Authors : Marsetio Noorprajuda, Makoto Ohtsuka, Hiroyuki Fukuyama
Affiliations : Institute of Multidisciplinary Research for Advanced Materials (IMRAM), Tohoku Univ.

Resume : Aluminum nitride (AlN) is a promising material as a substrate for AlGaN based UV-LEDs. Aluminum (+c) polar AlN film is necessary to obtain a smooth surface and to grow device structures. Some methods have been attempted to control the polarity of the AlN film on the sapphire substrate including modifying the initial growth conditions such as using very low V/III ratio, Al-source/N-source pulsed flow, or Al-source pre-flow in the MOVPE method. The polarity inversion of AlN was also occurred at high oxygen partial pressure in LPE method. In the present study, a nitrided a-plane sapphire with nitrogen (-c) polar AlN thin layer was used as a substrate. Varying the oxygen partial pressure seems to be a simple method to invert the polarity of AlN by sputtering technique. Therefore, the effect of oxygen partial pressure on crystalline quality and polarity of AlN films deposited at 823K on nitrided sapphire substrates by pulsed DC reactive sputtering was investigated. The c-axis oriented AlN ?lms were grown on substrates homoepitaxially at wide oxygen partial pressure range. The oxygen partial pressure a?ected the crystalline quality of sputtered AlN ?lms. At the high oxygen partial pressure, the surface morphology of AlN film became smooth, the crystalline quality of the AlN ?lm was the highest among the others, and the polarity inversion of AlN occurred from (-c) to (+c) polar.

A.1.49
18:00
Authors : Yewon Jo1, Wontaek Ryu2, Hionsuck Baik1, Mino Yang1*
Affiliations : 1 Seoul Center, Korean Basic Science Institute, Seoul 02841, Korea; 2 Center for Inter-University Research Facility, Kookmin university, Seoul 02707, Korea

Resume : The dislocation studies on GaN, wide band-gap semiconductor of 3.4eV, have been performed for them normal to (0001). But in real the dislocation are inclined from <0001> especially where the strain varies distinctively. They are the area near the active layer where high amount of Si, Mg, In and Al are doped, and the case of GaN grown on Si (111). Jog or kink accompany when a dislocation is inclined, and the core structure of the dislocation would be deformed from them of parallel to <0001>. We investigated structure of the dislocation with the GaN grown on Si(111) using the abberation corrected transmission electronic microscopy. We have changed the focus in STEM view and acquired a series of images with the respective focus, that is called optical sectioning. As the result, most of the dislocation were found to be edge type. And we frequently observed the 1/2[10-10] shift of (0001) plane and highly strained open-core edge dislocations. We are finding the atomic structure of the dislocation belonging to the shifted (0001) plane. In the side of electronic structure, all the observed edge dislocations might contain Ga metallic bond. Even if it requirs more studies, there is great possibility that the inclined edge type dislocation introduce much severe defect-level in band gap degrading the efficiency of the GaN devices from the present results. (NRF-2016R1C1B1013667)

A.1.50
18:00
Authors : Jun Zhang, Jingwen Chen, Feng Wu, Shuai Wang, Renli Liang, Jiangnan Dai, Changqing Chen
Affiliations : Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, Wuhan, China

Resume : Zinc oxide with a large direct bandgap of 3.37 eV and high exciton binding energy of 60 meV is a promising candidate for UV-LEDs, detectors and sensors. However, despite the great progress made in ZnO technology, high-quality ZnO-based homojunction devices have been seldom studied as p-type ZnO is still unavailable. In an alternative approach, p-type GaN has been widely employed to fabricate heterojunction UV-LEDs with ZnO as the n-type layer, because of the similar band structure and small lattice mismatch (~1.8%) between GaN and ZnO. Meanwhile, in the electroluminescence (EL) spectrum acquired from n-ZnO/p-GaN heterojunction, the emission from the p-GaN layer generally dominates the spectrum because of the lower carrier concentrations in p-GaN. As a solution, various electron blocking layers (EBLs), such as AlN, MgO, HfO2 and ZnS, have been attempted to confine radiative recombination in the ZnO layer. Though the EBL can effectively block electrons leaking from ZnO, a high valence-band offset between EBL and GaN also prevents holes injecting from GaN into ZnO simultaneously. Without altering the band structure, a feasible solution is to insert instinct ZnO between the n-type and p-type layers. As the carrier concentration of i-ZnO is lower than n-ZnO and p-GaN, the depletion region is almost residing in the i-ZnO layer, which can promote irradiative recombination in the ZnO layer. So far, most of conventional ZnO based UV-LEDs are grown along c-axis orientation of wurtzite crystal structures, which suffer a strong internal electric field, induced by the spontaneous and piezoelectric polarization. This internal electric field can severely decrease the internal quantum efficiency and thus degrade the performance of emitting devices. To avoid these adverse effects, a promising substitution is to grow ZnO films along nonpolar a-direction. Free from the negative internal electric field, such nonpolar ZnO based UV-LEDs also have unique characteristics of polarized emission due to the modification of electronic band structure, caused by anisotropic in-plane strains and distortions of the hexagonal unit cells. Moreover, the anisotropic optical properties of nonpolar ZnO-based materials make it possible to realize the polarization-sensitive optoelectronic devices, such as polarized detectors and sensors. In this work, nonpolar a-plane (11-20) n-ZnO/i-ZnO/p-Al0.1Ga0.9N (PIN) heterojunction UV-LEDs were manufactured by metal organic chemical vapor deposition (MOCVD) and pulsed laser deposition (PLD). Electroluminescence (EL) spectrum of the nonpolar n-ZnO/i-ZnO/p-Al0.1Ga0.9N heterojunction UV-LEDs demonstrated an UV emission located at around 386 nm under different forward and reverse biases. The luminescence mechanism of EL under reverse bias was studied in detail by considering the tunneling effect. Furthermore, the optical polarization characteristics of the heterojunction UV-LEDs were studied by polarized electroluminescence under reverse bias and photoluminescence spectra, demonstrating that the light emission along the growth direction was polarized, and the degrees of polarization (DOPs) are 0.23 and 0.33, respectively.

A.1.51
18:00
Authors : J.T. Griffiths1, C. X. Ren1, P.-M Coulon2, E D. Le Boulbar2, C.G. Bryce3, I. Girgel2, A. Howkins4, I. Boyd4, R. W. Martin3, D. W. E. Allsopp2, P. A. Shields2, C.J. Humphreys1, and R.A. Oliver1
Affiliations : 1. Department of Materials Science and Metallurgy, Charles Babbage Road, Cambridge, CB3 0FS, United Kingdom; 2. Department of Electronic and Electrical Engineering, University of Bath, Bath, BA2 7AY, United Kingdom; 3. Department of Physics, SUPA, University of Strathclyde, Glasgow, G4 0NG, United Kingdom; 4. Experimental Techniques Centre, Brunel University, Uxbridge, UB8 7BQ, United Kingdom

Resume : Core-shell nanorods offer novel advantages and potentially higher efficiency optical devices compared with traditional planar devices. They can provide superior crystal quality non-polar sidewalls with low defect densities. The larger effective light emitting area leads to a substantial reduction in the local current density, in theory leading to a reduction in efficiency droop and ultimately higher efficiencies for high power light emitting devices. However the development of such quantum structures requires insights into the relationship between the optical, structural, and chemical properties. By performing CL in a scanning transmission electron microscope it has been shown it is possible to reveal the nanoscale optical properties of individual quantum emitters [1,2] and to correlate with the material structure and chemistry, an approach now referred to as nano-cathodoluminescence (nano-CL). This approach can reveal unprecedented insights in to the nanostructure. In this article, nano-CL reveals the effect of the structure and chemistry on the nanoscale optical properties of InGaN core-shell nanorods. Core-shell nanorods were prepared by combined top-down etching and regrowth to achieve high quality nanorods, with smooth hexagonal facets and a low density of defects. To study the nanoscale properties of the core-shell structure an axial cross-section was prepared by focussed ion beam. Nano-CL reveals there is a sharp reduction in the luminescent intensity at the intersection of the non-polar {1-100} sidewalls. This is accompanied by a reduction in the emission energy particularly at the outer tips of the corners. Correlative composition analysis reveals that the increase in emission wavelength is due to an increase in the indium composition at the corners, which also increases at the outer tips. We attribute the reduced luminescent intensity to increased incorporation of unintentional vacancies. Greater control of the alloy distribution will aid in the future development of higher efficiency core-shell nanorods. [1] L. F. Zagonel, et al., Nano Lett. 2011, 11, 568–573. [2] J. T. Griffiths, et al., Nano Lett. 2015, 15, 7639–7643.

A.1.52
18:00
Authors : A. Cros, N. Garro, S. Murcia-Mascaros, M. Belloeil, A.M. Siladie, B. Gayral, B. Daudin
Affiliations : Materials Science Institute (ICMUV), University of Valencia, P. O. Box 22085, E46071, Valencia, Spain; Univ. Grenoble Alpes, France and CEA, INAC-PHELIQS, ”Nanophysics and semiconductors” group, F38000 Grenoble

Resume : The realization of electrically driven ultraviolet (UV) light emitting diodes in the 300-200 nm range based on AlGaN relies on the adequate control of p- and n-type doping, alloy homogeneity, density of defects and elastic relaxation of built in strain fields. With this target in mind, nanowires (NWs) are advantageous due not only to their ability of growing free of extended defects on a large variety of substrates, but also to the easier doping of NWs with respect to layers, as recently shown for n-type doping [1]. We report here on the Raman study of self-organized GaN and AlGaN NW pn junctions grown by molecular beam epitaxy on silicon. In the case of GaN, the spectra of the ensemble shows the local vibrational modes (LVMs) characteristic of the presence of Mg complexes with A1 symmetry around 260 and 656 cm-1[2], indicating a Mg content well above 1019 cm-3[3]. While these modes have not been observed in the AlGaN NW pn junctions investigated, all samples show Mg-H LVMs in the high frequency range (around 2200 cm-1), with a clear red-shift and broadening of the AlGaN Mg-H modes when compared to GaN. Most interestingly, Raman spectra taken at different locations along the axis of single AlGaN NW pn junctions allow the identification of three NW segments with different composition: the strained GaN basis, the n-type AlGaN middle region and the p-type AlGaN top. This identification is possible by careful micro-Raman analysis even though NW total lengths are below 3 um. The distinction between n and p-type AlGaN sides is based on the change of intensity of a continuous band below 300 cm-1 and of disorder activated modes in the spectral region corresponding to A1(LO) and E1(LO) phonons, a feature characteristic of strong Mg incorporation. [1] Z. Fang et al., Nano Letters 15, 6794 (2015) [2] G. Kaczmarczyk et al. Phys. Rev. B 61, 5353 (2000) [3] A. Kaschner et al. Appl. Phys. Lett. 74, 3281 (1999)

A.1.53
18:00
Authors : A. Minj, Q-T. Li, M. F. Romero, Y. Wang, O. Tuna, M. Feneberg, R. Goldhahn, G. Schmerber, C. Giesen, M. Heuken, P. Ruterana,
Affiliations : CIMAP, CNRS UMR 6252, 6 Boulevard du Maréchal Juin, 14050 Caen Cedex, France; Instituto de Sistemas Optoelectronicos y Microtecnologia and Dpto. de Ingenieraia Electronica, E.T.S.I.Telecomunicacion, Universidad Politecnica de Madrid, Avda. Complutense 30, Ciudad Universitaria,28040 Madrid, Spain;AIXTRON SE, Kaiserstr. 98, 52134 Herzogenrath, Germany;Institut fur Experimentelle Physik, Otto-von-Guericke-Universitat Magdeburg, Universitatsplatz 2,39106 Magdeburg, Germany;Institut de Physique et Chimie des Materiaux de Strasbourg (IPCMS), UMR 7504 CNRS-UdS, 23 rue du Loess, BP 43, 67034-Strasbourg Cedex 2, France

Resume : InxGa1-xN alloys, widely used as active layers are already commercialized as light emitting diodes and laser diodes operating in the UV/blue spectral range. In spite of this, there are still open questions on the physical processes concerning their optical emission and the effect of immiscibility and strain relaxation on optical properties. This should be resolved by determination of correlation between the structural properties, local indium fluctuation, and luminescence. In our experiments, the optical properties of a set of fully strained InGaN samples for 12 to 22% of In with similar surface and bulk structural properties were studied. For In less than 17%, under low excitation conditions, the luminescence was dominated by localized exciton recombination with activation energy Ea of 12meV occurring at local In-rich regions. For In=16.8%, an additional process corresponding to the Ea of 38 meV was observed. Under intense optical excitation conditions, stimulated emission (SE) at the lower energy side of the localized excitons was observed for the temperature range 5–295K. The non-linear dependence for the entire temperature range of its output intensity to input excitation density and broadening of the high energy tail of SE confirms the electron-hole plasma [1]. As the In-content passed 17%, there was no observation of stimulated emission despite the structural property only changing minimally with further indium inclusion as observed in apparently promising reciprocal space maps. This is explained by the presence of low-density MDs at the interface as identified in TEM analysis that act as non-radiative recombination centers. [1] A. Minj et al. Appl. Phys. Lett. 109, 221106 (2016)

A.1.54
18:00
Authors : A. Minj, A. Cros, N. Garro, P. Ruterana
Affiliations : CIMAP, UMR 6252, ENSICAEN, 6 Bd Maréchal Juin, 14050 Caen Cedex 4, France; Institute of Materials Science (ICMUV), Universidad de Valencia, P.O. Box 22085, E-46071, Valencia, Spain

Resume : InGaN alloys are of great interest for applications in the field of optoelectronics and electronics; however, they inherently contain structural defects including threading dislocations and stacking faults besides suffering from growth issues such as spinodal decomposition, formation of pinholes, indium segregation, non-random alloy fluctuation etc. [1]. Therefore, it is of high interest to study local optoelectronic properties in such layers. In this work, we have investigated the potential use of Electric Force Microscopy (EFM) methodology on InGaN (50 nm)/GaN heterostructures. The layers quality has been assessed through HAADF STEM associated with geometric phase analysis, along with the observation of intense stimulated emission in Photoluminescence. In these layers, Kelvin probe force microscopy maps show homogeneity in surface potential, except at the V-defects, and this is attributed to the difference in work function of semi-polar facets {1-10n}. Under above band gap illumination, most of the V-defects are found to be charged. Additionally, in dc bias-dependent EFM measurements, it was seen that only for negative-bias < -2V, undulated features start to appear in the EFM map which are assessed to be localized at the heterostructures interface aligned along the known GaN buffer terrace steps. These features are assigned to local electron acceptor traps at the interface which get filled in reverse bias configuration as the Fermi-level of GaN shifts above the electron trap level at -2V. This mechanism of charging by electron filling is understood considering that the metal tip near the semiconductor surface forms metal-(air)-semiconductor structure. References 1. Ruterana P.; Albrecht M.; Neugebauer J.; Nitride Semiconductors- Handbook on Materials and Devices 1st Edition Wiley-VCH 2006.

A.1.55
18:00
Authors : Zhiqiang Liu, Xiaoyan Yi, Shaoteng Wu, Junxi Wang, Jinmi Li
Affiliations : R&D Center for Semiconductor Lighting, Chinese Academy of Sciences, Beijing 100083, P. R. China

Resume : HVPE (hydride vapor phase epitaxy) is a commonly accepted process for growing III–V compounds. However, controlling the growth of nanowires (NWs) remains a significant challenge. Recently, catalyst engineering, which includes changing catalyst morphology, composition, size, and position, has been widely used for controlling not only the NW crystal phase but also branching and kinking, growth direction, and diameter. This paper reports that the GaN NW growth axis can be controlled variably from the polar c-axis to the non-polar m-axis by tuning the catalyst composition from altering the supply of III and V sources using VLS (vapor−liquid−solid) HVPE. This variety of direction variety is due to changing the interface energy, which is strongly affected by the gallium concentration in the catalyst droplet. Moreover, the diameter of NWs can be manipulated by modulating the metal catalyst size. Increasing particle size results in high NW-axis growth rates, which limit NW radial growth. This paper not only proves that HVPE can be used to accurately control the growth of NWs, but it also provides general strategies for manipulating both the growth direction and the diameter of III–V NWs.

A.1.56
18:00
Authors : MinKwan Kim1, Sunghan Choi2, Joo-Hyung Lee2, ChungHyun Park2, 3, Tae-Hoon Chung4, Jong Hyeob Baek4, Yong-Hoon Cho2,3
Affiliations : 1Department of Nanoscience and Technology, Korea Advanced Institute of Science and Technology 291 Daehak-ro, Yuseong-gu, Daejeon 34141, Republic of Korea ; 2Department of Physics, Korea Advanced Institute of Science and Technology 291 Daehak-ro, Yuseong-gu, Daejeon 34141, Republic of Korea ; 3KI for the NanoCentury, Korea Advanced Institute of Science and Technology, Daejeon 305-701, Republic of Korea ; 4LED Research and Business Division, Korea Photonics Technology Institute, Gwangju 61007, Korea

Resume : InGaN/GaN based light emitting diodes (LEDs) have been widely used in various fields due to high luminescence performance. However, there still remain question about high luminescence performance of InGaN/GaN LEDs despite of high dislocation density induced by lattice mismatch between GaN and dissimilar substrate. Recently, V-pits and potential fluctuations are suggested one of reasons for high luminescence performance since they can prevent non-radiative recombination at dislocation [1,2]. However, photoluminescence (PL) measurement based on conventional optical microscopy has limitation on analysis of V-pits and potential fluctuations due to the spatial resolution limit. Here, we measured luminescence mappings of two types of InGaN/GaN multi quantum wells sample having different size of V-pit using near-field scanning optical microscopy with various powers in order to investigate the influence of V-pit and potential fluctuation [3]. From these nanoscopic mapping results, we successfully observed that local optical properties at near area of the V-pit and potential fluctuation. Moreover, by comparing two samples with different V-pit size using correlation analysis, we quantitatively confirmed that carrier dynamics show obviously different tendency affected by different V-pit size. References [1] Hangleiter, A. et al. Phys Rev Lett 95, 127402 (2005). [2] Chichibu, S. F. et al. Nat Mate. 5, 810-816 (2006). [3] Kim, M.K. et al. Sci Rep. Accepted (2017).

A.1.57
18:00
Authors : C. Blumberg(1), S. Grosse(1), W.-A. Quitsch(2), G. Bacher(2), W. Prost(1)
Affiliations : 1) University of Duisburg-Essen, Solid-State Electronics Department, Faculty of Engineering, and CENIDE, Duisburg 2) University of Duisburg-Essen, Werkstoffe der Elektrotechnik, Faculty of Engineering, and CENIDE, Duisburg

Resume : Selective Area Epitaxy (SAE) of GaN on Silicon substrates is of high current interest due the electrical conductivity of the substrate, facilitating electrical contact schemes. In common SAE-methods dielectric masks and multiple growth runs for AlN/Si-templates and GaN-growth are needed. In this work we present a new maskless SAE-method for 3D-GaN on Si (111) by a site-controlled growth using periodically ordered holes in the Si-surface. The holes are realized by nanoimprint and ex-situ etching processes. An AlN-intermediate-layer has to be grown on Si as a Ga-blocking layer. In one MOVPE-run, a surface-conform and thin (<20 nm) AlN-layer and 3D-GaN structures were grown. The AlN growth temperature was identified as the critical factor for achieving site-controlled growth of GaN. Temperatures above 930°C lead to inhomogeneous growth on top of the holes and in-between. For 930°C dominant growth of GaN occurs only on top of the holes. Unexpected pits and surface topologies, appearing on the Si-surface during heat up for AlN-growth at high temperatures, have been identified as causes for unwanted nucleation sites. These pits are caused by hydrogen involved Si-etching. Furthermore KOH(aq) etch experiments reveal that GaN on any surface topology is unipolar. Since the growth rate strongly depends on the polarity, SAE of 3D-GaN could be achieved. This is an important feature for future rod-based opto-electronic devices.

A.1.58
18:00
Authors : Tomas Hubacek1,2, Oliver Rettig1, Marketa Zikova1,2, Jan-Patrick Scholz3, Matthias Hocker3, Natja Steiger3, Klaus Thonke3, Yueliang Li4, Ute Kaiser4, and Ferdinand Scholz1
Affiliations : 1 Institute of Optoelectronics, Ulm University, Albert-Einstein-Allee 45, 89081 Ulm, Germany; 2 Permanent address: Institute of Physics CAS, v. v. i., Cukrovarnická 10, 162 00 Prague 6, Czech Republic; 3 Institute of Quantum Matter/ Semiconductor Physics Group, Ulm University, Albert-Einstein-Allee 45, 89081 Ulm, Germany; 4 Central Facility of Electron Microscopy, Ulm University, 89081 Ulm, Germany;

Resume : In the last few years, AlGaN-AlN heterostructures find high interest for applications in deep UV light emitting diodes (LEDs), especially in the UV-C range (around 270 nm). In the last decade, the output power of such UV LEDs has greatly increased, but is still significantly inferior compared to LEDs in the visible spectral range (based on InGaN/GaN structures). Currently, boron (B) containing AlGaN layers are heavily discussed to solve problems with lattice mismatch between layers with different Al content in AlGaN LED structures even for comparably small amounts of B of a few percent. We optimized AlGaN/AlN QW heterostructures with different growth parameters (such as reactor pressure, TMGa flow, etc.) with 2 nm quantum well (QW) thickness and 8 nm barrier thickness, resulting in an emission wavelength of about 250 nm (~5 eV). Photo- and cathodoluminescence measurements were performed on these structures and show differences in the QW composition between upper and lower QWs in multi-QW structures. We observed a significantly increased QW growth rate when activating the TEB flow. The influence of different TEB flows on the QW thickness was studied by XRD. Moreover, we observed a decrease in the relaxation of B containing QW structures as compared to pure AlGaN structures. The surface quality decreased with incorporation of boron, as revealed by AFM studies.

A.1.59
18:00
Authors : V. Janonis*, V. Jakstas*, I. Grigelionis*, I. Kasalynas*, P. Prystawko**, P. Kruszewski**, M. Leszczynski**
Affiliations : * Center for Physical Sciences and Technology, Saulėtekio al. 3, LT-10222 Vilnius, Lithuania; ** Institute of High Pressure Physics, Polish Academy of Sciences, Sokołowska 29/37, 01-142 Warsaw, Poland

Resume : During last decade terahertz (THz) systems and components have received a lot of attention. Properties of electron plasma and lattice oscillations have been widely investigated in polar semiconductors and heterostructures such as GaAs and InGaAs [1], and GaN and AlGaN alloys [2-3]. Gallium nitride (GaN) is one of the most promising materials encouraging rapid development of THz devices. In this work, the reflection spectra of the grating-coupled AlGaN/GaN heterostructures grown on SiC or GaN substrates were investigated with the aim to describe the interaction between plasmon and phonon modes for efficient electromagnetic radiation coupling to the semiconductor. Two samples were fabricated for the experiment. The first sample consisted of 26/1000 nm thick Al0.19Ga0.81/GaN (with 19% of Al) heterojunction that was grown on the bulk GaN n-type Ammono substrate with high-resistivity GaN epi-layer on top. Grating with the period of 16 um was fabricated on the surface of heterojunction depositing Ti/Au metals using standard UV photolithography procedures. The second sample – a 28/1000 nm thick Al0.25Ga0.75/GaN heterojunction was grown on 500 um thick 6H-SiC substrate with 1.3 um thick HR GaN epi-layer. In this a case, the period of the grating-coupler was 7 um. The reflection spectra were acquired using Far Infrared Fourier Transform (FTIR) spectrometer over the frequency range of 400-1500 cm-1. IR reflectance measurements were conducted at room temperature using polarized irradiation at incidence angle of 20 deg. The reflectivity was calibrated using the reflectance spectrum of a gold film on a sapphire plate. Experimentally measured spectra were modeled using at least five interactive plasmon-phonon modes and classic electrodynamic approach of the dielectric function. The first grating-coupled sample demonstrated two plasmon modes in the reflection spectrum indicating strong interaction between plasmon and phonon modes. GaN has only one branch for each vibration direction of transverse optical (TO) and longitudinal optical (LO) phonon modes. Therefore, the first sample was considered as the reference for further investigation of plasmon–phonon modes in AlGaN/GaN heterostructures grown on foreign substrates. The reflection of the second grating-coupled sample demonstrated more complex reflectivity with up to five peaks of plasmon–phonon modes in the spectrum. In a case of the second sample, it was considered that plasmons interact with phonon modes of AlGaN/GaN heterojunction as well as SiC substrate. [1] Ibanez J, Tarhan E, Ramdas A, Hernandez S, Cusco R, Artus L, Melloch M, and Hopkinson M 2004 Direct observation of LO phonon-plasmon coupled modes in the infrared transmission spectra of n-GaAs and n-InxGa1-xAs epilayers Phys. Rev. B 69 075314. [2] Talwar D.N 2010 Direct evidence of LO phonon-plasmon coupled modes in n-GaN Appl. Phys. Lett. 97, 051902. [3] Rahbany N, Kazan M, Tabbal M, Tauk R, Jabbour J, Brault J, Damilano B, and Massies J 2013 Measurement of the effect of plasmon gas oscillation on the dielectric properties of p- and n-doped AlxGa1−xN films using infrared spectroscopy J. Appl. Phys. 114, 053505.

A.1.60
18:00
Authors : HaoLong (a), Xiaohui.Feng (b), Yang Wei (c),Tongjun Yu (*b), Shoushan Fan (c), Leiying, Ying (a) and Baoping Zhang (*a)
Affiliations : (a) Department of Electronic Engineering, Optoelectronics Engineering Research Center, Xiamen University, Xiamen, 361005, China (b) State Key Laboratory for Artificial Microstructure and Mesocopic Physics, School of Physics, Peking University, Beijing 100871, China (c) Department of Physics and Tsinghua-Foxconn Nanotechnology Research Center, Tsinghua University, Beijing, 100084,China

Resume : Gallium nitride based solid state lighting dominates the lighting market since its environmental amity and higher efficiency. Gallium nitride (GaN) and its alloys with InN and AlN manifest a wide band gap, full visible spectrum emission and stable chemical inertness, and are being extensively applied into the lighting, backlight and traffic signals. Sapphire is the most popular substrate for GaN and LED growth, since its low cost and suitability for good GaN crystalline quality. However, sapphire also suffers inferior thermal and electrical conduction, leading poor heat dissipation and current spreading. Thus, many groups dedicated to exfoliate the GaN and LED membranes from sapphire substrate and transfer them onto other thermal and electrical conducting substrates (e.g. Cu) to fabricate vertical LEDs. Among those substrate transferring processes, laser lift off (LLO) was an irreplaceable and clutch step, which irradiated the GaN/sapphire interface by ultra short pulse laser. The laser energy heated the lattice and decomposed the crystal, leading to the separation of the chemical bonding. However, high laser energy threshold is usually needed in traditional LLO, which could cause degradation to GaN and LED. Therefore, the reduction of laser threshold energy would benefit the survival of material and enhance the production yield of vertical LED fabrication. Carbon nanotubes, as one of the most mature 1D nano scale structures, have been promised for many potential applications including: transparent conductive composite, energy capacitive devices, sensors, nanometer scale transistors, and field emission displays. Some of them have been commercialized, while others have been demonstrated with enhanced performance in labs. In this work, carbon nanotube bundles were used to assist the LLO of GaN material in reducing the threshold of laser energy. Sapphire substrate was firstly patterned by carbon nanotube bundles. GaN epitaxially grown on this substrate exhibited reduced stress, controllable strain distribution, improved crystalline quality and better device performance. Laser lift off of 6-μm thick GaN materials grown on this carbon nanotube patterned sapphire substrate were investigated, as well as the FEM simulation of temperature distribution during laser irradiation. Both the experimental and theoretical results showed that the carbon nanotube could efficiently elevate the temperature of GaN/CPSS interface, and reduce the threshold laser energy because of its higher laser absorption coefficient. Raman spectra were also measured for the stress analysis, showing significant stress relaxation after laser irradiation. There is only 0.3GPa residual stress in the GaN film exfoliated from CPSS by LLO under a laser threshold energy of 130mJ.

A.1.61
18:00
Authors : Lei Zhang, Shouzhi Wang,Yongzhong Wu, Xiaopeng Hao
Affiliations : State Key Lab of Crystal Materials, Shandong University, Jinan, 250100, P.R. China

Resume : Single crystal GaN membranes has a great potential for a variety of applications. However, the fabrication of such single-crystalline GaN membranes remains a challenge due to its chemical inertness and mechanical hardness. Here, large-area, free-standing, and single-crystalline porous GaN membranes are prepared with one-step high temperature annealing technique for the first time. A promising separation model is proposed through a comprehensive study that combined thermodynamic theories analysis and experiments. To complete the proof-of-concept demonstration of new energy storage application, porous GaN crystal membrane were processed into supercapacitors, which exhibits marked high-rate capability, impressively stable cycling life at high rates, and ultrahigh power density. We believe that our results can contribute to pushing the study of GaN crystal membrane into a new stage related to the elelctrochemical energy storage application.

A.1.62
18:00
Authors : I. Grigelionis1, V. Jakštas1, V. Janonis1, I. Kašalynas1, P. Prystawko2, P. Kruszewski2, M. Leszczynski2
Affiliations : 1Center for Physical Sciences and Technology, Saulėtekio al. 3, LT-10222 Vilnius, Lithuania; 2Institute of High Pressure Physics, Polish Academy of Sciences, Sokołowska 29/37, 01-142 Warsaw, Poland

Resume : Semiconductor terahertz (THz) emitters are required for the development of compact spectroscopic THz imaging systems. Such systems are of great demand in biomedical and security applications, remote materials quality control, etc. The solid state electrically pumped THz sources such as p-type Ge lasers, quantum cascade lasers or shallow impurity electroluminescence lasers are under research and development. The THz source based on electroluminescence of impurities is relatively easy to operate at moderate electric fields and at temperature well above 4.2 K. On the other hand, the IR-THz spectroscopy of electroluminescence serves as a tool in the research of shallow impurities in heterostructure layers. Depending on the impurity atom, the optical transitions spectrum of GaN-based materials are rich with fingerprint frequencies falling in the energy range of 10-50 meV (2.5-12.5 THz). The temperature is important parameter that limit an electroluminescence signal at high temperatures. For example, maximum working temperature for n-Si based THz emitter was 40 K, while unintentionally doped n-GaN layers demonstrated the electroluminescence of impurities even at 80 K temperature [1, 2]. In this work THz electroluminescence spectra of GaN/AlGaN high electron mobility transistor (HEMT) structures were obtained at temperatures higher than 80 K. The AlGaN/GaN HEMT structures were grown on a sapphire substrate using a Metal Organic Vapor Phase Epitaxy (MCVPE) growth method. The heterojunctions stack was consisted of 25 nm thick Al0.2Ga0.8N and 500 nm thick unintentionally doped GaN grown on 1 μm thick high resistivity GaN layer. Electrical contacts of Ti/Al/Ni/Au were processed at a distance of l = 2 mm using standard UV photolithography and RTA procedures [3]. The sample was mounted on a cold finger of liquid nitrogen croystat. The electricaly driven THz signal was measured by the Fourier Transform Far-Infrared (FTIR) spectrometer with vacuum option at frequency and temperature range of f = 50-500 cm-1 and T = 100-120 K, respectively. The emission spectrum was collected from the 1.5 mm diameter spot positioned between the ohmic contacts. The analysed sample emitted a broadband THz spectrum with distinguishable peaks at discreate frequency. The emission was found significant due to quite intense electroluminescence from Si and O impurities located in the close proximity of 2DEG channel [4]. The electroluminescence signal analysed and discussed here was described in terms of field induced electrons transition between conduction band and donor ground state (c-1s) and from the donor ground state to donor excited state (1s-2p) [1,2]. Apparently, the electroluminescence signal from a relatively thin HEMT structures was observed at significantly lower electric field and at higher temperature as compared to earlier observations [2], most probably, due to the quality of the ohmic contacts. We believed that the formation of shallow impurities in the heterostructure layers originated from uncontrolled doping. In this study, the oxygen was the main source of impurities’ emission present in the MOVPE grown heterostructure layers. The residual oxygen doping can be reduced from 1017 cm-3 up to to 5x1015 cm-3 expecting the corresponding control of the electroluminescence signal. [1] Shalygin, V.A. et al. Bull. Russ. Acad. Sci. Phys. 74, 86 (2010). [2] A. V. Shalygin et al., J. Appl. Phys. 106, 123523 (2009). [3] V. Jakštas et al., Lith. J. Phys. 54, 227–232 (2014). [4] W.J. Moore, . et al. Appl. Phys. Lett. 79, 2570 (2001).

A.1.63
18:00
Authors : W. Jiang, D. Ehrentraut, and M. P. D'Evelyn
Affiliations : Soraa, Inc., Goleta, California 93117, USA

Resume : GaN-on-GaN LEDs and vertical power diodes have demonstrated superior performance compared to their heteroepitaxial counterparts [1,2]. Bulk GaN substrates grown by hydride vapor phase epitaxy (HVPE) have been in routine commercial use for GaN-based laser diodes since 2006 but have limited availability above 2 inch diameter and are expensive. The ammonothermal method has demonstrated superior crystalline quality to HVPE GaN but is widely believed to have inferior point-defect-mediated material properties and to suffer from very low growth rates that may limit its suitability in various applications and its cost. In addition, ammonothermal GaN is widely viewed as having very high structural quality but containing high concentrations of impurities and other point defects that may compromise their electrical and optical properties. Soraa has developed a novel, acidic ammonothermal approach for growth of high quality, true bulk GaN crystals that enable material properties similar to HVPE and also favorable manufacturing costs. Soraa’s SCoRA (Scalable Compact Rapid Ammonothermal) approach [3] utilizes internal heating to circumvent the material-property limitations of conventional ammonothermal equipment and enables higher growth rates and reduced equipment costs. In the current work, c-plane bulk GaN crystals were grown using the acidic ammonothermal method and doped with oxygen at levels ranging from 1.6E18 to 2.7E19 cm-3. The crystals were characterized by Hall Effect, SIMS, Optical Absorption, FTIR and Cathodoluminescence (CL) measurements to understand the point defect chemistry in the O-doped ammonothermal GaN samples. Hall Effect and SIMS measurements showed that, on average, approximately 50% of the oxygen donors were compensated. Furthermore, it was found that the optical absorption coefficients of these crystals in the blue to violet wavelength region is closely and positively correlated with the oxygen concentration, suggesting that the compensating defect also gave rise to sub-bandgap optical absorption. Other than hydrogen, all other external impurities are at least one order of magnitude lower in concentration than oxygen. The principal internal acceptor point defects in n-type GaN are singly and doubly hydrogenated Ga-vacancies, either with or without oxygen (VGa-1H, VGa-2H, VGa-O-H, VGa-O-2H). The presence of these vacancies are confirmed by FTIR measurements which show strong peaks at 3050 – 3250 cm-1, wavenumber ranges typically associated with N-H vibration modes. CL measurements show a strong emission peak at 423 nm (~ 0.5 eV above the valence band maximum). Both the FTIR and CL peak intensities correlate positively with oxygen concentration. Given these data, together with comparative results from GaN crystals grown in various crystallographic sectors, we conclude that VGa-O-H and/or VGa-O-2H are the primary compensating defects in n-type, c-plane ammonothermal GaN with carrier concentrations in the 3E17 to 1E20 cm-3 range and the main source of sub-bandgap optical absorption. However, despite the presence of these point defects, the carrier mobilities as a function of carrier concentration were very similar to those of HVPE GaN. The present results provide further indications that the properties of SCoRA GaN are adequate for diverse device applications and that HVPE regrowth on high quality ammonothermal seeds is not required for either cost or material quality. REFERENCES: [1] C. A. Hurni, A. David, M .J. Cich, R. I. Aldaz, B. Ellis, K. Huang, A. Tyagi, R. A. DeLille, M. D. Craven, F. M. Steranka, and M. R. Krames, “Bulk GaN flip-chip violet light-emitting diodes with optimized efficiency for high-power operation”, Appl. Phys. Lett. 106, 031101 (2015). [2] I. C. Kizilyalli, A. P. Edwards, H. Nie, D. Disney, and D. Bour, “High voltage GaN p-n diodes with avalanche capability,” IEEE Trans. Elect. Dev. 60, 3067 (2013). [3] D. Ehrentraut, R.T. Pakalapati, D.S. Kamber, W. Jiang, D.W. Pocius, B.C. Downey, M. McLaurin, M.P. D’Evelyn, “High quality, low cost ammonothermal bulk GaN substrates”, Jpn. J. Appl. Phys. Part 1 52, 08JA01 (2013).

A.1.64
18:00
Authors : Kang Bok Ko, Do Trong Thanh , Min Han , Beo Deul Ryu , Jo Chang Hee, Joo Kwan Seon, Tran Viet Cuong , Chang-Hee Hong
Affiliations : Semiconductor Physics Research Center, School of Semiconductor and Chemical Engineering, Chonbuk National University, Deokjin-gu, Jeonju, 561-756, South Korea

Resume : Replacement of chemical vapor deposition growth graphene with high-concentrated graphene dispersion to fabricate ohmic contact for AlGaN/GaN high electron-mobility transistors (HEMTs) is demonstrated. The solution-based graphene offers a facile, extremely low temperature, large-scale and processable method for producing Ohmic contact. Although device fabrication process must be further optimized to significantly improve electrical performance, this approach does not require complex additional graphene transferred steps. Our results realized that solution-based graphene could have potential application in fabrication and manufacturing of III-V-Nitride optoelectronic devices in the near future.

A.1.65
18:00
Authors : Won-Jun Lee,Mi-Seon Park,Won-Jae Lee ; Young-Jun Choi,Hae-Yong Lee
Affiliations : Department of Advanced Materials Engineering, Electronic Ceramics Center, Dong-Eui University, Busan 47340, Republic of Korea ; ​LumiGNtech Co., Ltd., Room 902, E-dong, Gwangmyeong Techno-Park, 1345 Soha-dong, Gwangmyeong-si, Gyeonggi-do 14322, Republic of Kore

Resume : In this study, we were grown GaN layer on the patterned sapphire substrate (PSS). And the properties of GaN layers grown on the planar sapphire and PSS by hydride vapor phase epitaxy (HVPE) method were compared. The growth condition consisted of two growth steps at 980℃, where the 1st growth step was with the high V/III group ratio and the 2nd growth step was with the low V/III group ratio. Surface structures, roughness average (Ra) and thickness values of GaN layer were measured by Optical Microscope (OM), Atomic Force Microscope (AFM) and Scanning Electron Microscope (SEM). And the evaluation and characterization for GaN layer crystal quality were accomplished by High Resolution X-ray diffraction (XRD). Also, optical properties of GaN layer analysis by Photoluminescence (PL). Results of GaN layer on PSS shows that thickness was grown 9.28 ㎛, the Ra value measured 0.3501 ㎚ and FWHM values of (0002) and (10-12) XRD rocking curve(RC) were 398 and 359 arcsec, respectively. PL spectra were measured at 290 K for GaN layers on PSS grown with five different growth times for 1st growth step. The peaks of PL for five samples were measured at 365.78 to 370.24 nm, respectively. GaN layer grown using two growth steps exhibited PL peak at 362.36 nm as the band edge emission peak. GaN layer grown on PSS is believed to have better quality than for GaN on the planar sapphire according to the good matching data on PL spectra and XRD RC.

A.1.66
18:00
Authors : T. Hossain1,2 , M. J. Rashid1,2, E. Frayssinet1, N. Baron1, B. Damilano1, F. Semond1, J. Wang3, L. Durand3,4, A. Ponchet3, F. Demangeot3,4 and Y. Cordier1
Affiliations : 1CRHEA-CNRS, Rue Bernard Grégory, Sophia Antipolis, 06560 Valbonne, France. 2Université de Nice Sophia Antipolis, Parc Valrose, F-06102 Nice Cedex 2, France. 3CEMES-CNRS UPR-8011, 29 rue Jeanne Marvig, 31055 Toulouse Cedex 4, France 4Université de Toulouse, UPS, 118 Route de Narbonne, 31062 Toulouse, France

Resume : Group III-nitride semiconductors have emerged as important materials for emitters and detectors in the visible range to UV spectrum and high power electronic devices. Silicon as a foreign substrate for GaN is more beneficial due to its low cost and large availability. However, the growth of GaN on Si suffers from large lattice mismatch (17%) and large difference in thermal expansion coefficients (~56%). This thermal expansion coefficient mismatch induces large tensile stress while cooling down from growth temperature and can create cracks over critical thickness, a phenomenon that can be accelerated by defects like pin-hole for instance. Substrate patterning made it possible to grow crack free thick GaN. In this work, crack statistics are developed and stress analysis is done for MOCVD grown 12 µm thick GaN on Si substrate patterned with different sizes, trench widths and trench heights of the mesas. Optical microscope is used to obtain the percentage of cracked mesas and room temperature micro-Raman scattering is used to investigate the stress on crack free GaN. The crack statistics show that the size and the trench height of the mesas have large effects on the percentage of cracked mesas but the trench width has no significant effect. Similar behavior is found from the stress measurement while varying these features of the mesa. Thanks to the variety of patterns both the crack statistics and stress analysis gave a more accurate view on critical stress for crack generation.

A.1.67
18:00
Authors : P. Sana1, H. Tetzner1, R. Delgado1, L. Lupina1, A. Schubert1, W. Seifert1, S. Thapa2, P. Storck2, M. Zöllner1 and T. Schroeder1
Affiliations : 1IHP, Im Technologiepark 25, 15236 Frankfurt (Oder), Germany 2Siltronic AG, Hans Seidel Platz 4, 81737 Munich, Germany

Resume : GaN integration on Si attracts much attention for LED, LASER, high power and high frequency HEMT devices. This technology exhibits several advantages such as low cost, large wafer size, and good compatibility. However, GaN integration on Si faces many heteroepitaxy challenges, such as high thermal (56%) and lattice mismatch (17%). Graded AlGaN buffer approach is the most widely studied technique to overcome these difficulties. Here, surface inhomogeneities in form of hexagonal hillocks on GaN surfaces are sometimes observed and need to be controlled and suppressed. This paper investigates the origin of spiral hillock growth on GaN surfaces with an AlGaN based graded buffer on Si(111) substrates. Structural and compositional analysis by TEM, EDX and CBED patterns show that a possible origin of hillock formation are carbon patches at the AlN/Si interface. These carbon patches produce defects in form of slip planes and cause Ga diffused V-pit formations in subsequent AlN and AlGaN layers which feature a higher concentration of edge and screw dislocations. These dislocations propagate to the AlGaN and emerge as V-pits. At high temperature and Ga rich growth conditions, GaN steps are pinned by screw dislocations and form double arm spiral hexagonal hillocks on the GaN surface. Additionally, presence of edge dislocations generates surface depressions on the facets of the GaN hillock. The hexagonal hillocks on GaN surfaces are observed to influence the optoelectronic properties

A.1.68
18:00
Authors : M. D. Haque, M. Julkarnain,N. Kamata,and T. Fukuda
Affiliations : M. D. Haque; N. Kamata; and T. Fukuda; Department of Functional Materials Science, Saitama University, Saitama 338-8570, Japan M. Julkarnain; Faculty of Engineering, University of Rajshahi, Rajshahi 6205, Bangladesh

Resume : We have studied defect states acting as nonradiative recombination (NRR) levels in n-type GaN layers (2μm) grown by MOCVD technique on sapphire substrates. These layers have Si concentration of 3x1016 cm-3 on a LT buffer layer (sample 502) and an AlN underlayer (sample 505). Values of threading dislocation density in the n-type GaN layers were over 109cm-2 for the sample 502 and 108cm-2 for the sample 505, respectively. A CW above-gap excitation (AGE, 4.66eV) and an intermittent below-gap excitation (BGE, 1.17eV) light sources are used to detect NRR levels in our method of two wavelength excited photoluminescence (TWEPL). With increasing the BGE density up to 1.37W/mm2 at 12K, the PL intensity decreased down to 59% from the initial value in the sample 502, while it decreased to 74% in the sample 505. The PL quenching indicates the distribution of a pair of NRR levels activated by the BGE energy of 1.17eV. We also analyzed the AGE density and the temperature dependency of the PL quenching. With decreasing the AGE density and temperature, the amount of PL intensity decrease in the sample 502 was larger than that of the sample 505, showing higher concentration of NRR levels. These results are consistent with higher peak PL intensity of the sample 505 by a factor of 19 at 12K together with lower threading dislocation density. It was exemplified directly that the insertion of optimized AlN underlayer is effective for reducing the density of defect states in n-type GaN overlayer.

A.1.69
18:00
Authors : Qilong Bao, Yang Li, Zhaofu Zhang, Qingkai Qian, Jiacheng Lei, Gaofei Tang, Baoling Huang and Kevin J. Chen
Affiliations : Dept. of Electronic and Computer Engineering, The Hong Kong University of Science and Technology, Hong Kong, China; Dept. of Mechanical and Aerospace Engineering, The Hong Kong University of Science and Technology, Hong Kong, China

Resume : Thermal conductivity k in vertical direction (i.e. across the c-plane of GaN epi-layer) is of significant importance to GaN-on-Si heterojunction power devices, for which sufficient heat dissipation needs to be available through wafer thinning and heat sink. Unlike the relatively simple buffer layer structures for GaN growth on sapphire or SiC substrates, sophisticated strain-compensation structures such as super-lattice or graded (Al)GaN need to be inserted in the buffer/transition layers for growing thick (3~5 μm) GaN-based thin film on Si (111) substrates. The impact of the insertion layers on the overall thermal conductivity of the GaN-on-Si epi-structures could be significant due to large number of interfaces. In this work, the 3-omega technique [1] is employed to characterize the effective thermal conductivity of GaN-on-Si epi-layers featuring two different buffer structures that are commonly deployed in commercial wafers. Sample A consists of a 0.2-μm AlN nucleation layer, a 2.4-μm AlN/GaN super-lattice based transition layer and a 1.6-μm GaN layer. Sample B consists of a 0.2-μm AlN nucleation layer, a 3.4-μm graded AlGaN layer and a 50-nm GaN layer. With the temperature increasing from 200 to 350 K, k of sample A increases monotonously from 4.75 W/m·K to 5.36 W/m·K, and similarly, the k of sample B increases from 3.49 W/m·K to 4.13 W/m·K, both of which are significantly lower than k (~253 W/m·K) of high-quality undoped GaN bulk [2]. The much lower k in the GaN-on-Si epi-layers is most likely due to boundary-scattering effect at the interface, stronger phonon scattering in the ternary AlGaN than GaN, stronger photon scattering by dislocations and the severely defective AlN/Si interface. References: [1] D. G. Cahill, Rev. Sci. Instrum., 61, 802 (1990). [2] H. Shibata, et. al., Mater. Trans. 48, 2782 (2007).

A.1.70
18:00
Authors : S. Blumenthal, D. Reuter, D. J. As
Affiliations : University of Paderborn, Faculty of Physics, Department of Optoelectronic Semiconductors

Resume : Group III-nitrides attracted much attention in the development of optical and quantum optical devices, operating in the UV spectral range. Especially, quantum dots (QDs) can be efficient light emitters because of their reduced dimensionality. Stacking of QDs is an appropriate way to increase the number of QDs in the active region and to achieve lasing. In previous studies we showed, for cubic GaN (c-GaN) QDs embedded in a cubic AlN (c-AlN) matrix, that the photoluminescence intensity increases with increasing number of QD layers. In this work we concentrate on the carrier transfer between the individual QD layers involving non-resonant tunneling processes. This is realized by a sample with two layers of c-GaN QDs and a c-AlN spacer layer in between. The lower c-GaN QD layer consists of smaller QDs than the upper QD layer. The spacer layer thickness was varied between 2 and 30 nm. Photoluminescence measurements were performed with a 266 nm laser at room-temperature. While the sample with a small spacer layer thickness shows one dominating peak, the sample with thicker spacer layers shows two clear emission bands, which can be assigned to the transitions of the different QD sizes. We conclude that for the thin barrier the carriers are transferred to the large QDs and recombine there, whereas for the thick barrier carrier transfer is suppressed.

A.1.71
18:00
Authors : Michael Deppe, Fabian Tacken, Dirk Reuter, Donat J. As
Affiliations : University of Paderborn, Department of Physics, Warburger Str. 100, 33098 Paderborn, Germany

Resume : Recently, germanium was introduced as an alternative to silicon for n-type doping of cubic GaN, allowing for electron concentrations about one order of magnitude higher than with silicon doping. In our experiments a maximum free carrier concentration of 3.7x10^20 cm^-3 was obtained [1]. Growing the ternary compound AlxGa1-xN shifts the emission to shorter wavelengths. The standard n-type dopant for cubic AlxGa1-xN is silicon and up to now no experiments on germanium doping of cubic AlxGa1-xN have been reported. In this contribution we report on first experiments on germanium doping of cubic AlxGa1-xN. Layers with aluminum contents up to x=60% were grown by plasma-assisted molecular beam epitaxy on 10 µm thick 3C-SiC(001) on Si(001) substrates. For all aluminum contents an undoped reference sample and a sample doped with a Ge cell temperature of 800°C have been fabricated. Aluminum contents are determined by high resolution X-ray diffraction and energy dispersive X-ray spectroscopy. Photoluminescence spectroscopy was performed at 13 K to compare spectra of doped and undoped samples. A comparison of spectra of doped and undoped samples reveals a higher carrier concentration in doped samples.

A.1.72
18:00
Authors : A. Jaud, T. Abi Tannous, A. Kahouli, S. Linas, G. Ferro, C. Brylinski, L. Auvray
Affiliations : Université de Lyon, Université Lyon 1, CNRS, UMR 5615, Laboratoire des Multimatériaux et Interfaces, 43 bd du 11 Novembre 1918, 69622 Villeurbanne Cedex, France

Resume : Peripheral protection is required in any reliable power electronic device. For Si and SiC-based devices, such protection is achieved by localized p-type dopant implantation. In the case of GaN-based devices, Mg ion-implantation generates more problems than it solves while localized epitaxy of highly p-doped GaN is still not a real alternative. The aim of this study is to explore a new approach towards localized p-type growth and doping of GaN by implementing Vapor-Liquid-Solid (VLS) transport for both reducing the growth temperature and reaching very high Mg concentration levels. The starting substrates are hetero-epitaxial (0001) GaN layers grown on Si (111). The VLS growth process includes three steps. At first, Ga is deposited onto the GaN surface by MOCVD at 500°C from TEGa precursor, resulting in an array of Ga droplets with sub-micrometer diameters. Then, Mg is incorporated from the gas phase into the droplets using (MeCP)2Mg precursor. In the last step, the droplets are nitridated within the temperature range 500-700°C under NH3 flow. Performing one complete cycle usually leads to a network of clearly separated epitaxial GaN dots and/or ring-shaped features. Under optimized growth conditions, the seed surface is covered with hexagonally-shaped well facetted dots. In order to improve the coverage of the seed by promoting the coalescence of the VLS-grown GaN dots, several successive cycles have been performed on the same samples, leading to GaN films with thickness up to 350 nm. SIMS measurements demonstrate the actual incorporation of Mg in the VLS material, with concentrations from 2.1019 up to 8.1021 at.cm-3. TEM and low-temperature photoluminescence characterizations of such GaN:Mg grown material will be shown and discussed.

A.1.73
18:00
Authors : C.Romanitan[1,2]
Affiliations : 1 National Institute for R&D in Microtechnologies, 72996, Bucharest, Romania 2 Faculty of Physics, 405 Atomistilor Street, Magurele RO-077125, Romania

Resume : Threading dislocations (TDs) are the dominant defects in GaN films, appearing at the interface between substrate and epitaxial layer, and they might induce negative effects on optical and electrical properties of GaN-based devices and can also influence device reliability and lifetime. On the other hand, the structural defects can be responsible for useful properties when their type and density are controlled. Therefore a comprehensive understanding of mechanism of generation, depth profile, density and correlation is necessary. Accordingly, an investigation of the TDs density in three highly mismatched GaN epitaxial layers grown on sapphire substrate, with the thickness of 300, 1000 and 5000 nm, respectively, was realized using different non-destructive X-ray diffraction techniques. The edge and screw components, and, furthermore, the degree of correlation between them were obtained by fitting the entire X-ray rocking curves profiles using the numerical Fourier transform of the pair correlation function. This approach enabled determination of two main parameters, namely threading dislocation density, which is related to the tails of X-ray profiles, and positional correlation between dislocations, related to the peak of X-ray profiles, respectively. It is showed that, with increasing the epilayer thickness, the TDs density decreases and, more notable, the spatial correlation of the dislocations is reduced.

A.1.75
18:00
Authors : Tobias Wecker1, Gordon Callsen², Axel Hoffmann², Dirk Reuter1, and Donat J. As1
Affiliations : 1Department of Physics, University of Paderborn, Warburger Strasse 100, 33098 Paderborn, Germany ²Institut für Festkörperphysik, Technische Universität Berlin, Straße des 17. Juni 135, 10623 Berlin, Germany

Resume : Quantum cascade lasers depend on the non-resonant carrier transfer between the quantum wells (QW) to inject carriers and to achieve a population inversion. The tilting of the bands in the common hexagonal group III-nitrides due to large internal polarization fields along the c-axis, complicate the design of such devices for intraband transitions. This effect can be reduced by growing the cubic phase in the (001) direction on 3C-SiC. In this study the correlation between the decay times and the barrier thickness in asymmetric double QWs has been investigated by time-resolved photoluminescence at low temperatures. The sample series consist of three samples with asymmetric cubic GaN/AlxGa1-xN double QWs with Al content of x = 0.64 ± 0.03 on 3C-SiC (001) substrate, grown by radio-frequency plasma-assisted molecular beam epitaxy. The barrier thickness d is 1 nm, 3 nm and 15 nm, respectively. The emission of the 2.5 nm and 1.35 nm thick QW can be resolved spectrally in the luminescence. The time transients measured via time-resolved photoluminescence are well explained by a bi-exponential fit. A clear change of the carrier decay times in the QWs for different barrier thickness is found. Whereas for the narrow QW the decay time decreases for thinner barriers, for the wide QW the decay time increases due the transfer of additional carriers from the narrow QW.

A.1.76
18:00
Authors : K Jones, R Tompkins, E Krimsky, M Derenge, D Magagnosc, B Schuster
Affiliations : US Army Research Lab

Resume : We studied the formation of basal and pyramidal dislocations by nano-indenting GaN substrates containing dislocation densities of ~109, 106 or 103 cm-2. Our 109 load vs penetration depth curves have short discontinuities at ~15 mN, which are yield strengths where stress induced dislocations nucleate at dislocations already present. Most of our 106 and all of our 103 curves have single, long discontinuities at ~62 mN, beginning at 308 nm that are caused by spontaneous nucleation of dislocations that then act as nucleating sites for other dislocations. Our (1100) TEM micrographs on material strained only to the point of the discontinuity show a number of slip lines parallel to the basal plane near the tip of the indent, but they are not continuous across it. They are probably associated with the ⅓<1100>{0001} basal plane slip systems that nucleate separately on either side of the indentation. There also are 2 sets of parallel slip lines that are mirror images of each other and make an angle of ~33º with the c-axis. They are parallel to the [1123] and [1123] directions which are also the traces of the intersections of the (0111) and (0111) with the (1100) or the traces of the (1122) and (1122) planes. Combining this with our calculations of the shear stress, we suggest this pop-in event is caused by the spontaneous formation of a ⅓<1100>{0001} dislocation that acts as a nucleation site for a dislocation lying in a {0111} or {1122} plane, which then act as nucleation sites, etc.

A.1.77
18:00
Authors : T. Abi-Tannous, A. Jaud, A. Kahouli, L. Auvray, G. Ferro, C. Brylinski
Affiliations : Laboratoire des Multimatériaux et Interfaces, Centre National de la Recherche Scientifique, University of Lyon, Lyon 69621, France

Resume : Power electronic devices based on heteroepitaxial GaN on Si(111) have received much attention recently. Such heteroepitaxy is usually performed at high temperature after inserting an insulating multi-layered buffer (AlN/AlGaN) for strain accommodation. Unfortunately, such a buffer does not allow direct vertical current conduction in the devices. To solve this problem, an electrically conductive buffer needs to be used. We explore a stacking composed of two N+ doped epilayers: first a CVD-grown 3C-SiC(111) accommodation layer and, second, a GaN seeding layer grown by Vapor-Liquid-Solid (VLS) transport. We will present here a preliminary study, focused on the VLS hetero-epitaxy of non-intentionally doped GaN on 3C-SiC. VLS epitaxy of GaN was mainly investigated on 3C-SiC(111) epilayers, 100-200 nm thick, grown by standard CVD. For comparison purpose, growths were also performed on 4H-SiC surfaces. The VLS growth cycle includes two steps. At first, Ga is deposited at 500°C by MOCVD from triethylgallium, resulting in an array of Ga sub-micrometric droplets. Then, the droplets are nitridated, within the temperature range 600-800°C, under NH3 flow. Performing one complete cycle usually leads to a network of separated epitaxial GaN dots. The nucleation of GaN in every droplet requires sufficiently high NH3 flow rates. On the contrary, GaN lateral growth is promoted at lower N supersaturation and temperatures above 750°C. By combining a first growth cycle promoting nucleation and a second one promoting dots enlargement, GaN films, ~ 100 nm thick, could be obtained.

A.1.78
18:00
Authors : Bulent Baris, Hassan Khoussa, Benoit Eydoux, Thibault Ardhuin, David Martrou, Olivier Guillermet and Sébastien Gauthier
Affiliations : CEMES-CNRS, 29 rue J. Marvig, 31055 Toulouse Cedex, France

Resume : Studying the behavior of charge at the surface of nitride semiconductors is important to obtain physical characteristic such as the electronic conductivity in plane or through the bulk. But to get precise and reproducible information, these measurements should be done on a perfectly known system. Recently we succeeded in growing Au islands by MBE (Molecular Beam Epitaxy) on the surface of AlN(0001) 100 nm thick layers grown by NH3-MBE. The Au islands are one mono-atomic in height and several 10 nm in lateral size. They are characterized under UHV by no contact atomic force microscopy (NC-AFM) coupled to Kelvin probe (KPFM) that allows getting the topography and the electrostatic surface potential. Injection of holes or electrons into Au islands was observed by NC-AFM and KPFM. The decrease of the Kelvin potential over the charged Au islands allowed measuring the lifetime of the different charges. The main characteristics of these experiments are 1) at room temperature, holes lifetime is of several hours order while electrons lifetime is less than two hour, 2) at 4K no significant evolution of the Kelvin potential is observed either for holes or electrons, and 3) no lateral discharge is observed between neighboring Au islands separated by a distance as small as 10 nm. All these measures seem to show that the AlN 100 nm thick layers behave as a perfect insulating material.

A.1.79
18:00
Authors : Abdoulwahab Adaine, Sidi Ould Saad Hamady and Nicolas Fressengeas
Affiliations : Université de Lorraine, Laboratoire Matériaux Optiques, Photonique et Systèmes, Metz, F-57070, France. Laboratoire Matériaux Optiques, Photonique et Systèmes, CentraleSupélec, Université Paris-Saclay, Metz, F-57070, France.

Resume : Galium Indium Nitride (InGaN) is a semiconductor whose properties are particularly advantageous for the production of high-efficiency solar cells. Their interest lies particularly in their bandgap, which is direct and that can be adjusted within a wide spectral band from infrared (0.7eV for InN) to ultraviolet (3.4eV for GaN). These characteristics allow the design of efficient photovoltaic solar cells based on multi-junction technology. We therefore simulate and optimize an InGaN-based double-junction solar cell structure. The difference in lattice parameters between the different layers of the cell induces numerous interface defects in the component. We numerically investigated their influences on the component efficiency.

A.1.80
18:00
Authors : V. R. Anderson1, N. Nepal1, S. D. Johnson1, D. R. Boris1, S. G. Walton1, Z. R. Robinson2, A. C. Kozen1, A. Nath3, S. G. Rosenberg1, C. Wagenbach4, J. K. Hite1, K. F. Ludwig, Jr.4, C. R. Eddy, Jr.1
Affiliations : 1U.S. Naval Research Laboratory; 2The College at Brockport SUNY; 3George Mason University; 4Boston University

Resume : III-nitride semiconductors growth research is attempting to add low-temperature layer epitaxy (ALEp) to the techniques known to produce high quality films. ALEp offers elimination of miscibility gaps and reduced thermal coefficient of expansion mismatch strain in heterostructures. Molecular beam epitaxy and metalorganic chemical vapor deposition (MOCVD) are done at relatively high temperatures with AlN MOCVD taking place at over 1000°C. ALEp of AlN takes place at temperatures less than half that required for MOCVD[1], with more study required to lower levels of impurities and improve mechanistic understanding. Grazing incidence small angle X-ray scattering (GISAXS) can be carried out at ALEp process pressures in real time, and is sensitive to changes in surface morphology during nucleation. AlN ALEp on sapphire took place using trimethylaluminum and nitrogen/argon or hydrogen/nitrogen/argon plasma while monitored with GISAXS at the Cornell High Energy Synchrotron Source. Varying the temperature and plasma conditions led to changes in growth morphology and final film roughness. Growths at 430°C have higher impurities than samples grown at 480°C, and GISAXS shows an increase in short correlation lengths at the lower temperature. Changing the ratio of nitrogen to argon in the plasma gas feed alters the plasma kinetics and thus relative production of nitrogen species, as well as impurity levels in the final film. 1. N. Nepal et al., Appl. Phys. Lett. 103, 082110 (2013)

A.1.81
18:00
Authors : P. de Mierry, R. Mantach, F. Tendille, O. Tottereau, P. Vennéguès, M. Nemoz, G. Feuillet, Y. Cordier.
Affiliations : Université Côte d’Azur, CRHEA-CNRS, rue B. Grégory, F-06560 Valbonne

Resume : Semipolar orientations in AlGaN films on GaN favor the relaxation of the epitaxial stress via the glide of a-type dislocations and the occurrence of a tilt between the epitaxial layers and the substrate. In this study, the tilt was measured by x-ray diffraction for 300 nm-thick AlxGa1-xN layers grown on (11-22) GaN, with x ranging from 0.16 to 0.42. The Al mole fraction x was measured by EDX. It was found that the tilt was directly proportional to x, being oriented towards the [-1-123] in-plane direction, in agreement with the presence of misfit dislocations running towards the [1-100] in-plane direction. Cross-sectional TEM measurements confirmed the presence of an array of misfit dislocations at the AlGaN/ GaN interface. A modeling of the strain along the surface normal was compared with the experimental values obtained from symmetric 2- scans. Moreover, the 2- scans have shown additional diffraction peaks, characteristic of a chemical ordering with alternating Al-rich and Al-poor layers. These features were confirmed by HAADF STEM images, showing that the composition modulation is quite regular and extends over the entire AlGaN films.This effect was further studied by varying the growth conditions, such as the group-III elements and ammonia flow rates. First results indicate that the period of the compositional modulation is not only dependent on the Al mole fraction x, but also depends strongly on the AlGaN growth rate.

A.1.82
18:00
Authors : Ousmane I Barry1, Si-Young Bae2, Kaddour Lekhal2, Yoshio Honda2, and Hiroshi Amano2,3
Affiliations : 1Department of Electrical Engineering and Computer Science, Nagoya University, Nagoya, Aichi 464-8603, Japan; 2Institute of Materials and Systems for Sustainability, Nagoya University, Nagoya, Aichi 464-8603, Japan; 3Akasaki Research Center, Nagoya University, Nagoya, Aichi 464-8603, Japan

Resume : Recently, we found that the metalorganic vapor phase epitaxy (MOVPE) growth of m–plane GaN films on nominally on-axis bulk m–plane (10-10) GaN substrates under hydrogen (H2) carrier gas generates surfaces with a high density of pyramidal hillocks which is detrimental for device application. To improve the surface morphology and structural quality, we investigated the homoepitaxial growth of m–GaN layers with N2 carrier gas as an alternative to conventional H2. In this study, two samples of m–GaN homoepitaxial films, A and B, were grown by MOVPE on bulk m–plane (10-10) GaN substrates at identical temperature (1050°C), pressure (400 hPa) and V/III ratio (1800) under pure H2 and N2, respectively. The surface properties of the samples are found to be strongly dependent on the choice of carrier gas. A high density of large size pyramidal hillocks (500 –700 μm) are formed on the surface of sample A. In contrast, relatively smooth areas and small pyramidal hillocks (80 –100 μm) are observed on the surface of sample B. The Raman E2 (high) phonon peaks of both samples are aligned at 568 cm-1 (E2 frequency for relaxed GaN) which indicates that the grown films are strain-free. Additionally, the FWHM of E2 (high) mode of sample B is narrower than that of sample A. Thus, the structural quality is improved under N2. Moreover, the FWHM of A1 (LO) phonon-plasmon peak of sample B is smaller than that of sample A, suggesting a lower impurity incorporation in sample B.

A.1.83
18:00
Authors : M. Peres1, D.Nd. Faye1, X. Biquard2,4, E. Nogales3, M. Felizardo1, A. Redondo-Cubero1, T. Auzelle4,5, B. Daudin4,5, L.H.G. Tizei6, M. Kociak6, P. Ruterana7, B. Méndez3, E. Alves1, K. Lorenz1
Affiliations : 1IPFN, Instituto Superior Técnico, Universidade de Lisboa, Campus Tecnológico e Nuclear, Estrada Nacional 10, 2695-066 Bobadela LRS, Portugal 2 CEA, INAC-MEM, CEA-Grenoble, 17 av des Martyrs, 38054 Grenoble cedex 9, France 3 Departamento de Física de Materiales, Universidad Complutense, 28040 Madrid, Spain 4 Univ. Grenoble Alpes, 38000 Grenoble, France 5 CEA, INAC-PHELIQSCEA-Grenoble, 17 av des Martyrs, 38054 Grenoble cedex 9, France 6 Laboratoire de Physique des Solides, Université Paris-Sud, CNRS-UMR 8502, Orsay 91405, France 7Centre de recherche sur les Ions les Matériaux et la Photonique (CIMAP) ENSICAEN, Boulevard Maréchal Juin 14050 Caen France

Resume : GaN doped with Eu exhibits red luminescence which is of particular interest since the efficiency of conventional III-nitride LEDs drops significantly in the green and red spectral region. This study contributes to understand the incorporation of Eu in nanowires and thin films of GaN by ion implantation.We implanted GaN nanowires grown by Molecular Beam Epitaxy and thin films grown by Metal Organic Chemical Vapor Deposition with different fluences, from 1x1013 at/cm2 to 3x1015 at/cm2. Post-thermal rapid thermal annealing at 1000 ºC was performed to remove the damage induced by the implantation. The structural characterization of these samples by X-ray diffraction and Transmission Electron Microscopy reveals that strain introduced by defects remains considerably lower in nanowires than in thin films with remaining implantation damages mainly localized at the surface of the nanowire thus preserving the high crystalline quality of the core. Classical cathodoluminescence (CL) and nano-CL revealed homogeneous optical activation of Eu3 in all samples. However, the relation between the maximum intensity of the 5D0→7F2 transition and the implantation fluence is different for nanowires and thin films. To clarify the role of the local environment around the Eu and its relation with the damage induced by the implantation, the samples were characterized using X-ray absorption techniques. The coexistence of two different charge states (Eu2 and Eu3 ) along with their specific local environment are discussed considering the different damage formation and recovery after annealing in nanowires and thin films.

A.1.84
18:00
Authors : Yu-Wei Lin, Jia-Hong Huang, Ge-Ping Yu, Chien-Nan Hsiao, Fong-Zhi Chen
Affiliations : 1. Instrument Technology Research Center, National Applied Research Laboratories,2. Department of Engineering and System Science National Tsing Hua University,3. Institute of Nuclear Engineering and Science National Tsing Hua University.

Resume : ZrTiN film has attractive attention for its superior mechanical properties (hardness, adhesion, friction resistance), corrosion resistance, higher packing factor and chemical stability as similar to TiN, and it was widely applied in industry in the current decades. ZrTiN film will be deposited by High Power Impulse Magnetron Sputtering (HiPIMS), is a new deposition technique based on applying high power pulse on the sputtering target, and the high pulse currents result ultra-dense plasmas. These high density plasmas enhance the ionization degree of sputtered material, which enables it to deposit a void-free and highly crystalline film at low substrate temperature. Based on modification of the previous results of optimum coating conditions (substrate bias, substrate temperature, system pressure, nitrogen flow etc.) for metal nitride thin films develop a new deposition process, to investigate the microstructure and mechanical properties of ZrTiN. The precursor results of X-ray diffraction show all ZrTiN thick films (300 nm~500 nm) exhibited (111) preferred orientation, and the hardness values of ZrTiN thick films were ranging from 20~40 GPa. The N/ ZrTi ratios about 1 were measured by Rutherford backscattering spectrometer, and the packing factors of ZrTiN thick films can also be obtained by the results of RBS. The resistivity of ZrTiN thick films (~ 25 μΩ-cm ) is contributed from the packing factor. However, the precursor results of X-ray diffraction show all ZrTiN thin films (1 nm~50 nm) exhibited (200) or (220) preferred orientation, and the resistivity of ZrTiN thin films was also controlled about 30 μΩ-cm. The ZrTiN films which can improve the properties from TiN and ZrN is a new ceramic material with higher potential. Following the advance process and analysis research, the structure and properties can be correlated and as a reference for industry application.

A.1.85
18:00
Authors : Simona Pace, Robert J Davies, Michelle A Moram
Affiliations : Department of Materials, Imperial College London, Exhibition Road, London SW7 2AZ, UK

Resume : III-nitrides materials, such as GaN or AlN, have recently attracted much attention due to their unique properties that make them key materials for electronic and energetic applications. However the narrow range of binary III-nitride materials have encouraged the exploration of novel ternary nitride alloys in order to engineer the lattice parameters, the band gap and their relationship. Among these new materials, ScGaN is one of the most promising due to its unique electronic and piezoelectric properties [1], [2]. To efficiently employ ScGaN in energetic and electronic applications, it is necessary to achieve deep knowledge of both its electronic and structural properties. For this reason, ScGaN thin films grown using E-Beam Epitaxy technique are fully characterized. HR-TEM, STEM, XRD are used to explore the structure of ScGaN thin films and the maximum solubility of Sc in wurtzite GaN. In addition, XPS is employed to calculate the Sc content and Hall measurements are used to study the electronic properties and their dependence on Sc content. These ScGaN thin films show high-quality crystalline structures and no evident structural defects are observed. These results are promising for a future employment of ScGaN in applications, such as HEMT or UV-LED, where the high-crystallinity and the engineered lattice parameters are key factors to obtain enhanced performance. [1] C. Constantin, et al, Phys. Rev. B, 70 (19), 2004 [2] M. A. Moram and S. Zhang, J. Mater. Chem. A, 2 (17), 2014

A.1.86
18:00
Authors : Hassan Khoussa, Bulent Baris, Olivier Guillermet, Benoit Eydoux, Sébastien Gauthier, Xavier Bouju, David Martrou
Affiliations : Centre d’élaboration de matériaux et d’études structurales, CEMES-CNRS, UPR 8011, Nanosciences Group, 29 rue Jeanne Marvig, F-31055 Toulouse, France ; Université Toulouse III, UPS, 118 route de Narbonne, F-31062 Toulouse, France ; Laboratoire LSMC, Université d’Oran 1 Ahmed Ben Bella, 31100 Oran, Algérie

Resume : The growth of metallic thin layers on nitride semiconductors is important for technological applications. We present here a study on the growth of 4 different metals (Mg, In, Ag and Au) on the AlN(0001) surface. The 100 nm thick AlN layers are grown by NH3-Molecular Beam Epitaxy (MBE) and metal growth is done also by MBE. After transfer of the sample under UHV to an atomic force microscope (AFM), the surface is characterized by no contact AFM (NC-AFM) coupled to Kelvin force microscopy (KPFM) that allows getting the topography and the surface potential. Metal deposition was performed either directly after the AlN(0001) growth or after two days in UHV leading to a contaminated surface. For Mg, Ag and In, the growth is identical for the both conditions with the apparition of a wetting layer followed by the formation of 2D islands for Mg, and 3D nanoclusters for Ag and In. For gold deposition, the situation is different. While the growth is 3D for the contaminated surface, it is 2D for the freshly one with the apparition of one mono-atomic high Au islands. The atomic structure has been resolved by NC-AFM at 4K. Based on these observations, we have modeled the arrangement of the gold atoms on the AlN(0001) surface. The DFT calculations show that some of the gold atoms bind to the Al acceptor and N donor atoms of the (2x2)-Nad reconstruction. The growth of these 2D Au islands on the AlN(0001) open routes for charging experiments and charge injection from the surface to the AlN bulk.

A.1.87
18:00
Authors : G. Lukin1, T. Schneider1, C. Schimpf2, M. Barchuk2, F. Zimmermann3, C. Röder4, E. Niederschlag1, O. Pätzold1, M. Stelter1
Affiliations : 1Institute of Nonferrous Metallurgy and Purest Materials, TU Bergakademie Freiberg, Leipziger Str. 32, 09599 Freiberg 2Institute of Material Science, TU Bergakademie Freiberg, Gustav-Zeuner-Str. 5, 09599 Freiberg 3Institute of Applied Physics, TU Bergakademie Freiberg, Leipziger Str. 23, 09599 Freiberg 4Institute of Theoretical Physics, TU Bergakademie Freiberg, Leipziger Str. 23, 09599 Freiberg

Resume : In this paper, the potential of the high temperature vapor phase epitaxy (HTVPE) for the growth of GaN films on c-sapphire substrates is addressed. The HTVPE method uses ammonia and thermally evaporated gallium as precursors. The current status of our HTVPE reactor ensures reproducible multi-step growth procedures and a variation of the growth rate in a wide range of about 100 nm/h - 100 µm/h. The deposition of 2D GaN films on sapphire is possible using a buffer layer, which can be formed in a low-temperature nucleation process. The results of systematic HTVPE growth experiments are presented and discussed in detail. The focus of the investigations is on the influence of the main process parameters, such as carrier gas composition and ammonia flow, on the nucleation process and the coalescence of nucleation islands. The produced GaN layers were characterized by high-resolution XRD, SEM, PL, and Raman spectroscopy. The impurity concentrations of the layers have been found to be lower than 10^17 cm-3, despite high temperatures of the gallium melt up to 1350°C. HTVPE-GaN layers with a thickness of several micrometers can be produced with a good crystal quality, as indicated by (002)- and (105)-rocking curves with FWHMs below 300 arcsec. Furthermore, the optical properties and the residual stress of the HTVPE layers are analyzed.

A.1.88
18:00
Authors : Žydrūnas Podlipskas, Ramūnas Aleksiejūnas, Jūras Mickevičius, Martynas Riauka
Affiliations : Institute of Applied Research, Vilnius University, Vilnius, Lithuania

Resume : Carrier diffusivity and lifetime are key parameters determining an efficiency of an optoelectronic device. In this report, we show that carrier diffusivity and lifetime are limited by their localization. On the other hand, the lifetime is governed by carrier diffusion to the defects. We studied 0.13 – 1 µm thick AlGaN layers grown using MOCVD and MEMOCVD on c-plane GaN/sapphire or AlN/sapphire templates. Al content in the samples varied from 11 to 71%. Parameter of carrier localization σ was assessed from photoluminescence measurements under quasi-steady-state conditions at 8 – 300 K temperatures. Carrier lifetime and diffusivity were measured using the light-induced transient grating technique. Transient gratings of 1.2 – 10 µm period were recorded using 25 ps pulses at 266 or 213 nm with carrier density ranging from 3x10^18 to 1x10^20 cm^-3. Measurements revealed three trends. (I) Carrier lifetime τ dropped from 0.8 to 0.3 ns as the Al content increased, due to rising structural disorder and defect density. Further decrease of τ with Al was hindered by carrier localization. (II) Growth of diffusivity (due to increased carrier density or decreased temperature) enhanced carrier flow to defects and diminished their lifetime. (III) Carrier diffusivity was limited by their localization: diffusion coefficient declined from 2 to 0.1 cm^2/s with increasing σ from 13 to 65 meV.

A.1.89
18:00
Authors : Jennifer K. Hite1, Steven R. Bowman1, Christopher G. Brown1, Jacob H. Leach2, Kevin Udwary2,Michael A. Mastro1, Jaime A. Freitas, Jr.1, Francis J. Kub1, and Charles R. Eddy, Jr.1
Affiliations : 1U.S. Naval Research Laboratory, 4555 Overlook Ave, SW, Washington, DC 20375 2Kyma Technologies, Inc., 8829 Midway West Rd. Raleigh NC 27617 USA

Resume : Gallium nitride is a semiconductor widely used in both optical and electronic devices. The polarity of GaN (+/- c-direction) influences many properties of the resultant material, including chemical reactivity and electric field in these ‘spontaneously polarized’ materials. By engineering inversion layers, we have demonstrated control of GaN polarity on both polar faces of GaN. By employing a selective growth method to deposit the IL, the lateral polarity of the GaN can be alternated, thus enabling structures referred to as periodically oriented (PO) GaN. We have shown such structures on both N-polar and Ga-polar native substrates by changing the IL material. On N-polar substrates, we demonstrated that optimization of the MOCVD growth rates resulted in sharp, vertical interfaces and smooth surfaces. This work has moved the technology substantially closer to practical non-linear optic emitters by using HVPE to extend the PO GaN templates on N-polar substrates to total thicknesses of up to 1 mm, while faithfully maintaining the pattern of alternating polarity. The progression of the growth process and results of phase matching experiments with these structures will be presented. These methods of GaN polarity inversion offer the promise of engineered materials with custom lateral and vertical polarity variations for applications in novel electronic and optoelectronic devices, a subset of which are expected to be suitable for non-linear optics.

A.1.90
18:00
Authors : Sonoko Hamaya, Akari Sato, Masayoshi Adachi, and Hiroyuki Fukuyama
Affiliations : Institute of Multidisciplinary Research for Advanced Materials (IMRAM), Tohoku University.

Resume : AlN has suitable properties for substrate of AlGaN-based UV-LED. Recently, we have developed the LPE method using Ga-Al fluxes. Some fundamental studies are still continued to improve its growth rate. We also have been seeking an alternative flux system for LPE growth to achieve high growth rate. The purpose of this study is to obtain the insight of flux selection through in-situ observation of AlN growth using an electromagnetic levitation (EML) technique. Ni-Al alloys were chosen as a flux system. The alloys were prepared using an arc melting furnace. The EML furnace was evacuated, and was filled with Ar-5 vol%H2 gas to atmospheric pressure. The alloy samples were levitated in a RF magnetic field and heated by induction current and laser irradiation. After the sample levitated stably, N2 gas was introduced into the furnace. The levitated sample was recorded by a high-speed camera during AlN formation on the sample surface. From the images of samples, it was clarified that there were various nucleation and growth behavior depending on experimental conditions. In the experiments using the sample with low Al composition ratio at higher temperature, hexagonal crystal formed on the surface. On the other hand, in the experiment using samples with high Al ratio at lower temperature, dendritic morphology appeared. It is considered that these morphology changes were caused by the difference of driving force of AlN formation.

A.1.91
18:00
Authors : N. Chery1, T. H. Ngo3, M.P. Chauvat1, A. Minj1, B. Damilano2, B. Gil3, T. Grieb4, M. Schowalter4, K. Müller-Caspary4, A. Rosenauer4, and P.Ruterana1
Affiliations : [1] CIMAP, 6 Boulevard du Maréchal Juin 14000 Caen, France [2] CRHEA, Rue Bernard Gregory 06560 Valbonne, France [3] Laboratoire Charles Coulomb, Batiment 13. Campus Triolet. Université de Montpellier. CC069, 34095 Montpellier [4] Institut für Festkörperphysik, Universität Bremen, Otto-Hahn-Allee 1, D-28359 Bremen, Germany

Resume : The InGaN/GaN multiple quantum wells (QW) emission is efficient in the blue range[1], the challenge is to optimize it in and past the green range by increasing the indium content inside the QWs. To this end, many issues need to be addressed such as growth conditions, strain relaxation mechanisms, and their relationships toward the optimization of the emission properties. In this work, we investigate the structure and chemical composition of these heterostructures produced by metalorganic chemical vapor epitaxy (MOVPE) in a close correlation with the growth parameters and analysis of the optical properties with the objective of optimizing the emission at highest wavelengths. For the investigation of local structure and chemistry scanning transmission electron microscopy (STEM) at atomic level and quantitative composition evaluation are used to determine the indium composition as well as its possible local fluctuation[2]. When the indium composition increases over 20%, crystallographic defects are formed; they are mostly stacking faults with emitted half dislocations that may propagate from the QWs to the layer surface. Of course the formation of the defect depends not only on the indium composition, but also on the QW thickness. Therefore, we also analyze the role of the thickness and In concentration for our QWs, in order to bring about a deeper understanding of the involved relaxation mechanisms. References : 1 - Characteristics of InGaN-Based UV/Blue/Green/Amber/Red Light-Emitting Diodes T. Mukai, M. Yamada and S. Nakamura, Jpn. J. Appl. Phys. 38, 3976(1999) 2 - Rosenauer, A. et al. Composition mapping in InGaN by scanning transmission electron microscopy. Ultramicroscopy 111, 1316–1327 (2011).

A.1.92
18:00
Authors : S.A. Kazazis1, E. Papadomanolaki1, M. Androulidaki2, P. Tsotsis2, S.I. Tsintzos2, P.G. Savvidis2 3, E. Iliopoulos1 2
Affiliations : 1Department of Physics, University of Crete, Heraklion, Greece 2Microelectronics Research Group, IESL-FORTH, Heraklion, Greece 3Department of Materials Science and Technology, University of Crete, Heraklion, Greece

Resume : InxGa1-xN material is a very promising alloy system for many optoelectronic applications since its fundamental band gap can be tuned from the near infrared region of InN to the near UV region of GaN. For the design and fabrication of InGaN-based optoelectronic devices, the accurate knowledge of the composition dependent optical properties such as the emission energy, the refractive index and the band gap position, is required. Since the InN band gap re-evaluation large discrepancies are observed in the published values of the band gap bowing parameter b, spanning the range from 1 eV to 6 eV. The main sources of such discrepancies are the quality of the materials (strained, phase separated and inhomogeneous films often studied), the measurement of the exact indium content of the alloy, the energy band gap values of the constituent binary materials used and the optical technique used to determine the band gap position. In this work, we report on the optical properties of thick, homogeneous and almost fully relaxed InGaN films in the entire composition range. For the purposes of this study a wide range of (0001) InGaN alloy films were epitaxially grown by RF-MBE on freestanding GaN substrates with different growth conditions, to reveal the optimum growth window for high structural quality epilayers across the compositional range. The optical properties of the films were studied in detail by Variable Angle Spectroscopic Ellipsometry (VASE) and Temperature-Dependent Photoluminescence (TDPL). The InN mole fraction x as well as the strain state of the films was evaluated in terms of high resolution x-ray diffraction (HR-XRD). The optical dielectric functions (DFs) of the films were derived by modeling the ellipsometric data in the transparent and absorbing region employing a Kramers-Kronig consistent parameterized optical model. By utilizing the Cauchy dispersion model in the transparent region the wavelength independent refractive index in the entire composition range was extracted, whereas the refractive index values at the band edge was determined from the parameterized model used. A parabolic dependence on InN mole fraction was found in both cases. To evaluate the band gap bowing parameter the strain influence on the band gap was calculated and subtracted from the band gap positions determined by SE. The composition dependence of the strain-free band gap at room temperature in the entire composition range is well expressed by a bowing parameter of b=1.67±0.09 eV. This value is in excellent agreement with the ab initio calculated bandgap dependence for uniform (not clustered) InGaN alloys. The photoluminescence measurements were conducted from 22 to 300 K. At low temperature, PL lines were very intense and narrow in the entire composition range, in the range of 100 meV for x< 0.6 and in the range of 50 meV for x values above 0.6. The composition dependence of the strain-free emission energy at 22 K across the compositional range is described by a bowing parameter of b=2.83±0.1 eV, consistent with the most reported values. Upon increasing temperature, two distinct behaviors were observed at the emission peak positions. Films with fine line widths showed the characteristic ?S-shaped? behavior whereas films with broader FWHM exhibited the expected shift towards shorter wavelengths, highlighting the role of the confinement potential due to compositional fluctuations in the photoluminescence properties. Also, through the comparison of epilayers with the same InN mole fraction but grown inside and outside the optimum growth window, correlations between growth conditions and optical properties were made.

A.1.93
18:00
Authors : Momoko Deura, Kentaro Kutsukake, Yutaka Ohno, Ichiro Yonenaga, and Takashi Taniguchi
Affiliations : Tohoku University, National Institute for Materials Science

Resume : BN has been focused as one of the group-III nitride semiconductors and expected to the application to various optical and electronic devices. However, both bulk crystals and epitaxial films of BN are difficult to fabricate using any common growth methods. In addition, BN has several polytypes; hexagonal and cubic are the stable structure, and wurtzite and rhombohedral are metastable. Therefore, basic physical characteristics of BN are still unknown accurately. We have methodically evaluated the mechanical properties of III-nitrides using nanoindentation. Furthermore, we have already fabricated cubic-BN (c-BN) bulk single crystals although the size was small (~1 mm). In this study, we evaluated mechanical properties of a c-BN(111) bulk single crystal. c-BN bulk single crystals were grown for 50 h at 1600 deg-C and 5 GPa by the temperature gradient method using commercial hexagonal-BN discs or powder in Ba2B3N4 solvent. X-ray diffraction measurement revealed that the crystal was singly-oriented to the (111) plane with good coherency and that no twinning as well as no other polytypes included. Nanoindentation measurements were performed on the (111) plane at room temperature. A triangular pyramidal (Berkovich type) diamond indenter was used and the maximum applied load was in the rage of 10-100 mN. The hardness and Young's modulus of the c-BN bulk single crystal were determined to be 61+/-1 and 1070+/-30 GPa, respectively. The obtained values are larger than those of a c-BN poly crystal (60+/-2 and 960+/-30 GPa, respectively), which may reflect the crystal coherency. Therefore, mechanical properties are affected by the crystal perfection.

A.1.94
18:00
Authors : Q.T. Li, A. Minj, M.P. Chauvat, P. Ruterana, N. Grandjean, C. Giesen and M. Heuken
Affiliations : CIMAP, UMR 6252, CNRS ENSICAEN UCBN CEA, 6 Boulevard du Maréchal Juin, 14050 Caen , France; Ecole Polytechnique Fédérale de Lausanne (EPFL), CH-1015 Lausanne, Switzerland; AIXTRON SE, Kaiserstr. 98, 52134 Herzogenrath, Germany

Resume : The direct band gap of InxGa1-xN alloys could allow emission and absorption of light from UV to near IR with optimal efficiency. However, due to the physical properties of its alloy constituents (InN, GaN), phenomena such as compositional ordering [1] or In composition pulling [2] and phase separation [3] may take place upon growth. They contribute to material quality deterioration with formation of pinholes for releasing the increasing strain even for moderate indium content [2]. It should be noticed that the wurtzite structure has a smaller symmetry than the III-V compounds that crystallize in the cubic zinc blende, with reduced possibilities for dislocation glide within the conventional growth geometries. Original reports on strain relaxation have already been proposed, such as the punch-out process [4], and that which proposed an explanation for the formation of V-defects [5]. In this work, we have investigated the structural properties of MOVPE (metalorganic vapor phase epitaxy) single InGaN/GaN layers of 50-100 nm thick over a range of In-content (4% to 25%). The growth has been carried out using self-supported GaN as well as sapphire substrates. The study has been carried out first by a detailed AFM analysis of the generated surfaces, then XRD analysis of the residual strain, and finally we have carried out TEM of the interfacial dislocations that form versus the indium content. Our results show that for lowest indium content, the interface exhibit a networks of a type screw dislocations, however even at 15% indium, coexistence of edge and screw dislocations is observed. Within the highest content all the interface dislocations are of a edge type with their line along <10-10> directions. For highest content, above 20%, the formation of stacking faults appears to constitute an additional strain relaxation mechanism. References [1] P. Ruterana et al., Appl. Phys. Lett. 72, 1742 (1998) [2] C. Bazioti et al., J. Appl. Phys. 118, 155301 (2015) [3] M. D. McCluskey et al., Appl. Phys. Lett. 72, 1730 (1998) [4] S. Srinivasan et al., Appl. Phys. Letts. 83, 5187 (2003) [5] H. K. Cho et al., Appl. Phys. Lett. 79, 215 (2001)

A.1.95
18:00
Authors : C. Tessarek1,2,3, S. Rechberger4, C. Dieker4, S. Figge1, B. Hoffmann2, G. Sarau2, M. Bashouti2, E. Spiecker4, M. Eickhoff1, and S. Christiansen2,3
Affiliations : 1Institute of Solid State Physics, University of Bremen, Otto-Hahn-Allee 1, 28359 Bremen, Germany; 2Max Planck Institute for the Science of Light, Günther-Scharowsky-Str. 1, 91058 Erlangen, Germany; 3Helmholtz Zentrum Berlin für Materialien und Energie GmbH, Hahn-Meitner Platz 1, 14109 Berlin, Germany; 4 Institut für Mikro- und Nanostrukturforschung & Center for Nanoanalysis and Electron Microscopy (CENEM), Friedrich-Alexander-University Erlangen-Nürnberg, Cauerstr. 6, 91058 Erlangen, Germany

Resume : InN has the potential to be used for fast electronic devices and hot carrier solar cells due to its small effective mass of electrons, its small photonic and large phononic band gap. The lack of native substrates for InN growth usually leads to high defect density in InN layers. Therefore, a nanorod approach is utilized to improve the quality of InN material. This study shows the successful realisation of InN nanorods by metal-organic vapour phase epitaxy (MOVPE) [1]. The nanorods are vertically aligned with respect to the sapphire substrate and have a diameter and height of ~100 and ~300 nm, respectively. The In-metallic droplet on top of each nanorod indicates a self-catalysed growth. A detailed discussion on MOVPE growth parameters is carried out and it will be shown that a careful adjusted hydrogen and silane supply is needed to induce vertical growth. The structural and optical properties of InN nanorods are analysed by transmission electron microscopy (TEM), energy dispersive X-ray spectroscopy (EDX), X-ray diffraction (XRD), Raman and cathodoluminescence (CL) spectroscopy. InN and GaN nanorod growth is compared and several similarities are found despite the large difference in growth temperature [1,2]. Finally, conversion experiments of InN nanorods into In2O3 nanorods will be discussed in view of optical, structural and morphological properties. [1] C. Tessarek et al., Nano Lett. 16, 3415 (2016). [2] C. Tessarek et al., Cryst. Growth Des. 14, 1486 (2014).

A.1.96
18:00
Authors : Gaoqiang Deng, Yuantao Zhang, Zhen Huang, Baozhu Li, Baolin Zhang, and Guotong Du
Affiliations : State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, Qianjin Street 2699, Changchun 130012, China

Resume : SiNx interlayer with different deposition time was employed in the growth of N-polar GaN films on vicinal C-face SiC substrates by metal-organic chemical vapor deposition. We found that the structural characteristics, such as surface morphologies, crystalline qualities, and stress states of GaN films were closely related to the deposition time of SiNx interlayer. Based on the observed porous feature of SiNx interlayer from the phase image of atomic force microscope, we proposed a model on the epitaxial growth evolution of N-polar GaN films grown on the top of porous SiNx interlayer to reveal how the porous SiNx interlayer affect the structural properties of N-polar GaN films.

A.1.97
18:00
Authors : Assa Aravindh S and Iman S Roqan
Affiliations : Spectroscopy and semiconductor group, Division of Physical Sciences and Engineering, King Abdullah University of Science and Technology, Saudi Arabia

Resume : GaN is widely recognized as a potential material for high efficiency optoelectronics. However, its performance is often hindered by the unavoidable defects, such as dislocations and grain boundaries (GBs), which result in non-radiative recombination [1,2]. For example, in InGaN, the effect of In segregation near threading dislocation is reported [3]. The GBs most often contain dangling bonds and segregation of impurities, which give rise to deep gap states, leading to recombination and thus low efficiency. Similarly, compositional fluctuations can arise in InGaN at the GB scatter carriers, thereby reducing mobility. Therefore, we construct an InGaN GB to study the effect of vacancies and In segregation at the GB by employing density functional theory. The peculiarity associated with GB defects pertains to the presence of unusual strains and dangling bonds, along with the energetics of defects that differ from those of the corresponding bulk. We used a supercell of 296 atoms (Ga148N148) to create the GB, as this structural setup reduces the number of atomic sites entering the calculation to the necessary minimum. The optimized GB consists of periodically repeated rings containing 7, 10, 8 and 5 atoms and is stable with no inter-granular structures. We reveal that Ga and N vacancies (VGa and VN) and In show greater stability at the GB than in the bulk-like region, while VGa is the most preferred defect. The preferential segregation of point defects and In atoms at the GB is due to changes in the local crystal structure, and subsequently alters the electronic structure of the GB. Interestingly, the density of states of GB differs from that of bulk, and In forms additional states that can affect InGaN emission. 1. M. A. Reshchikov and H. Morkoç, J. Appl. Phys. 97, 061301 (2005). 2. Pu. Huang et al. ACS Nano, 9, 9276 (2015). 3. M.K.Horton et al, Nano Letters., 923, (2015).

A.1.98
18:00
Authors : Shingo Arakawa, Yuto Kubonaka, Shinichiro Mouri, Tsutomu Araki, Yasushi Nanishi
Affiliations : Department of Electrical and Electronic Engineering, Ritsumeikan University, Kusatsu, Shiga, 525-8577, Japan

Resume : Indium nitride (InN) has a large potential to compose high speed electronic devices or near infrared optoelectronic devices. However, misfit dislocations due to lattice mismatch between InN and growth substrates limit the device performance [1]. Growth of transferable high quality InN crystal on layered materials by van der Waals epitaxy [2], which enables the crystal growth without limiting lattice matching condition, is one of the promising ways to overcome this issue. Here we conducted the growth of InN crystals on graphene by RF-MBE method. DERI (Droplet Elimination by Radical Beam Irradiation) process[3] was used as the growth procedure. Growth temperature was ~425℃ and RF-plasma power was 200 W. After mono DERI process consisting of 10 min. In-rich and 10 min. N-rich growth, the InN crystal with small grains of ~100 nm grown on monolayer graphene transferred onto Si substrate was observed by SEM. The Raman signals of E2(high) mode (~487 cm-1) and A1(LO) mode (~584 cm-1) suggest that these crystals are Wurtzite InN. We also confirmed that isolated small hexagonal InN crystals of ~500 nm were grown on multilayer graphene exfoliated onto GaN substrate. With increasing growth temperature, the number of hexagonal crystals was decreased and granular crystals became dominant. The difference of InN growth dynamics between substrates will be discussed in detail in the presentation. 1. V. M. Polyakov and F. Schwierz, Appl. Phys. Lett. 88, 032101 (2006). 2. A. Koma, J. Crystal Growth, 201, 236 (1999). 3. T. Yamaguchi and Y. Nanishi, Appl. Phys. Express 2, 051001 (2009). Acknowledgment: This work is partly supported by JSPS KAKENHI Grant Number JP16H03860, JP16H06415, JP26600090, JP15H03559, and Sumitomo Foundation.

A.1.99
18:00
Authors : Takahiro Kawamura 1,2, Akira Kitamoto 2, Mamoru Imade 2, Masashi Yoshimura 2, Yusuke Mori 2, Yoshitada Morikawa 2, Yoshihiro Kangawa 3, Koichi Kakimoto 3
Affiliations : 1 Graduate School of Engineering, Mie University; 2 Graduate School of Engineering, Osaka University; 3 Research Institute for Applied Mechanics, Kyushu University

Resume : The oxide vapor phase epitaxy (OVPE) method is one of the promising bulk GaN growth technique [1]. However, reduction of O impurity and increase in growth rate are important issues to be solved because they prevent practical application of the OVPE method. We have worked on understanding GaN growth process in the OVPE method taking into account of O species. In this study, we performed first-principles calculations to investigate stable structures of non-polar GaN surfaces under the OVPE growth conditions and discuss the relationship between the growth conditions and O impurity incorporation. We constructed the GaN(11-20) and (1-100) surface models. The stable surface structure is determined by comparing the surface formation energy [2]. The first-principles molecular dynamics simulation program STATE-Senri [3] was used to calculate total energy of the surface structure models under consideration. From the results of surface phase diagram of GaN(11-20), we found that under the typical growth conditions (the temperature ∼1500 K, the Ga pressure ∼0.001 atm, and the O pressure is half the Ga pressure) OH groups and H atoms are adsorbed on the topmost Ga and N atoms, respectively. The activation energy for desorption of the OH group was estimated about 5.2 eV. We thus suppose that the OH groups hardly desorb from the surface. On the other hand, the activation energies for the reaction OH+H→H2O on the GaN(11-20) surface and the H2O desorption were about 1.8 eV and 0.38 eV, respectively. These values are small enough that the above reactions occur easily under the OVPE growth conditions. [1] M. Imade et al., J. Cryst. Growth 312, 676 (2010). [2] C. G. Van de Wallet et al., Phys. Rev. Lett. 88, 066103 (2002). [3] Y. Morikawa et al., Phys. Rev. B 51, 14802 (1995).

A.1.100
Start atSubject View AllNum.Add
 
Molecular Beam Epitaxy : Fabrice Semond
08:30
Authors : James S. Speck
Affiliations : Materials Department, University of California, Santa Barbara, CA 93106 USA

Resume : In this presentation, we highlight two recent areas of MBE in the development of high performance c-plane GaN-based LEDs Role of calcium in the efficiency of MBE LEDs: Ca as an unintentional impurity has been investigated in III-nitride layers grown by molecular beam epitaxy (MBE). It is found that Ca originates from the substrate surface, even if careful cleaning and rinsing procedures are applied. The initial Ca surface coverage is ∼10**12 cm−2, which is consistent with previous reports on GaAs and silicon wafers. At the onset of growth, the Ca species segregates at the growth front while incorporating at low levels. The incorporation rate is strongly temperature dependent. It is about 0.03% at 820 °C and increases by two orders of magnitude when the temperature is reduced to 600 °C, which is the typical growth temperature for InGaN alloy. Consequently, [Ca] is as high as 10**18 cm−3 in InGaN/GaN quantum well structures. Such a huge concentration might be detrimental for the efficiency of light emitting diodes (LEDs) if one considers that Ca is potentially a source of Shockley-Read-Hall (SRH) defects. We thus developed a specific growth strategy to reduce [Ca] in the MBE grown LEDs, which consisted of burying Ca in a low temperature InGaN/GaN superlattice (SL) before the growth of the active region. [E.C Young, T.E. Mates, N. Grandjean, J.S. Speck, APL 109, 212103 (2016)] Role of disorder in LED behavior: We review recent experimental work on unipolar analogs to LEDs to demonstrate the important role of alloy disorder in transport.

A.7.1
09:00
Authors : Akhil Ajay, Caroline B. Lim, David A. Browne, Jakub Polaczynski, Joel Bleuse, Edith Bellet-Amalric, Martien I. den Hertog, Eva Monroy
Affiliations : University Grenoble-Alpes, CEA-INAC-PHELIQS and CNRS-Institut Néel, 38000 Grenoble, France

Resume : We study the effect of high n-type doping densities on the structural and optical properties of GaN/AlN planar quantum wells and nanowire (NW) heterostructures designed as active media of intersubband (ISB) devices operating at 1.55 µm. Such devices require high dopant concentrations to populate the first electronic level, and their operation wavelength and bandwidth are very sensitive to the carrier density. Previously reported GaN-based ISB devices consist of planar structures using silicon as the n-type dopant. However, the first demonstration of ISB transitions in NW heterostructures relied on Ge doping [Nano Lett. 14, 1665 (2014)]. To put these preliminary studies in a broader perspective, we perform an elaborate study on the ISB transitions occurring in GaN/AlN planar and NW heterostructures with systematically varying doping levels of Si and Ge, while maintaining the same active region thickness. NW heterostructures consistently present longer photoluminescence decay times than their planar counterparts, which supports the existence of an in-plane electric field component. We describe theoretically and experimentally the screening of the internal electric field and the depolarization shift of the ISB resonance as a function of the doping concentration for both Si and Ge dopants. We demonstrate tunable ISB absorption wavelengths covering the near infrared spectrum for the two dopants in both choices of geometry, which opens new possibilities for future devices.

A.7.2
09:15
Authors : Xin Zhang [1, 2, 5], B. Haas [1,3], M. Gruart [1,2], A. M. Siladie [1,2], E. Robin [1,3], C. Bougerol [1,4], J.L. Rouvière [1,3] and B. Daudin [1,2]
Affiliations : [1] Univ. Grenoble Alpes, 38000 Grenoble, France [2] CEA, INAC-PHELIQS "Nanophysics and semiconductors" group, F-38000 Grenoble, France [3] CEA, INAC-MEM, F-38000 Grenoble, France [4] CNRS, Inst. NEEL, F-38042 Grenoble, France [5] ALEDIA, 17 rue des martyrs, Bât. M23, 38054 Grenoble Cedex 9, France

Resume : Morphology control of GaN nanowires: from needles to nanoparasols

A.7.3
09:30
Authors : V.N. Jmerik1, D.V. Nechaev1, A.A. Toropov1, E.A. Evropeytsev1, V.I. Kozlovsky2,3, D.E. Sviridov2, S. Rouvimov4, and S.V. Ivanov1
Affiliations : 1Ioffe Institute, Polytekhnicheskaya 26, St. Petersburg 194021, Russia; 2 Lebedev Physical Institute, Leninsky ave.53, Moscow 119991, Russia; 3 National Research Nuclear University MEPhI, Moscow 115409, Russia; 4 University of Notre Dame, Notre Dame, Indiana 46556, USA

Resume : We report on mid-UV emitting (Al,Ga)N quantum well (QW) structures grown by plasma-assisted MBE (PA MBE) on c-sapphire with an accurate control of QW thickness at a sub-monolayer(ML) resolution. It has been ensured by opportunities of extremely fast growth flux switching and low-temperature growth of III-N layers, inherent to PA MBE through usage of metal-rich conditions and a migration enhanced epitaxy. First, we discuss the most appropriate III/N flux ratio, substrate temperature and revolution speed for growth of GaN single QWs with the nominal thickness of 0.5-4.0 ML, embedded in either AlN or AlGaN barriers. Implementation of the heterostructures is confirmed by HAADF STEM studies. The peculiarities of radiative recombination in the QW structures are elucidated by studying their temperature-dependent and time-resolved photoluminescence (PL). Single PL peaks within a wavelength range of 235-320 nm are revealed in the GaN(0.5-4.0ML)/AlN heterostructures, respectively, with the most intense PL being observed for the (1-2)-ML-thick QWs. Then, we describe growth of a set of GaN/AlN MQW structures having the optimum QW nominal thickness of 1.5ML and a QW number varied from 40 to 360. As compared to SQW, the MQW structures exhibit significantly enhanced output power of TE-polarized UV emission under both optical and electron-beam excitations. The maximum intensities of PL and cathodoluminescence (CL) single peaks at wavelength of ~240 nm with the width of ~12 nm at RT were observed for the 360-period MQW structure. Similar 1.5ML-GaN/Al0.8Ga0.2N MQWs exhibit PL and CL peaks at a longer wavelength of ~280 nm and with higher intensity as compared to the GaN/AlN MQWs. Finally, the degradation issue of CL intensity in the MQW structures is discussed.

A.7.4
09:45
Authors : T. Yamaguchi1, T. Sasaki2, M. Takahasi2, T. Onuma1, T. Honda1, Y. Nanishi3
Affiliations : 1 Department of Applied Physics, Kogakuin University, Hachioji, Tokyo, Japan; 2 Synchrotron Radiation Research Center, National Institutes for Quantum and Radiological Science and Technology, Sayo-cho, Hyogo, Japan; 3 Department of Electrical and Electronic Engineering, Ritsumeikan University, Kusatsu, Shiga, Japan

Resume : The realization of high-quality GaInN templates and GaxIn1-xN/GayIn1-yN MQW structures enables us to create the wider device design such as a GaxIn1-xN matrix with GayIn1-yN active layers, although a GaN matrix with GaInN active layers has been used in the present GaN-based light emitters. For fabricating the GaInN-based devices, the understanding of strain relaxation mechanisms is essential due to the large lattice mismatch in GaInN system including GaN and InN. In this study, in-situ X-ray reciprocal space mapping (RSM) measurements in the RF-MBE growth of GaInN films on GaN and InN were carried out. The in-situ RSM measurements were performed using the MBE directly coupled to an X-ray diffractometer at beamline 11XU of the synchrotron radiation facility Spring-8. In the case of GaInN growth on GaN, the In composition of GaInN at the initial growth stage tended to be lower. On the other hand, in the case of that on InN, the In composition of GaInN at the initial growth stage tended to be oppositely higher. These can be explained that the lattice of GaInN is matched to under layer of GaN or InN at the initial growth stage by the compositional pulling effect. The in-situ X-ray RSM result in the growth of GaInN on InN at the high temperature, where InN of the underlayer is desorbed, will also be shown.

A.7.5
 
Characterization : Martin Albrecht
10:30
Authors : Bastien Bonef1, Micha N Fireman1, Richard Cramer1, Marco Piccardo2, Yuh-Renn Wu3, Claude Weisbuch1,2, James S Speck1
Affiliations : 1Materials Department, University of California, Santa Barbara, California 93106, USA; 2Laboratoire de Physique de la Matière Condensée, Ecole Polytechnique, CNRS, Université Paris Saclay, 91128 Palaiseau Cedex, France; 3Graduate Institute of Photonics and Optoelectronics and Department of Electrical Engineering, National Taiwan University, Taipei 10617, Taiwan

Resume : The wide tunability of energy band-gap between (In,Ga,Al)N based alloy is partially responsible for the development of several optoelectronic and electronic nitride based heterostructures. Most devices such as light emitting diodes, laser diodes or high electron mobility transistors being composed of several nanometer thick InGaN and AlGaN layers, measuring alloy disorder in these layers is of great importance to understand the physical properties of the devices. Previous studies have demonstrated that natural alloy disorder in ternary nitrides can influence carrier transport, optical properties and efficiency of a device. Indeed, the rapid change in energy band-gap with alloy fluctuations even within a layer can be responsible for the localization of carriers. Modern structural characterization techniques provide near atomic scale information on the distribution of atoms in the nitrides. Atom probe tomography (APT) has now the ability to analyze semiconductors with the application of laser pulses which trigger the evaporation of the atoms [1]. The evaporated sample being reconstructed in 3D, it is possible to directly obtain alloy composition and fluctuations with a nanometer resolution. This technique has now been extensively applied to the study of III-nitrides hetero-structures such as InGaN or AlGaN [2]. However, laser assisted APT is a recent technique and still suffer from an incomplete understanding of the field evaporation behavior of atoms in compound semiconductors. Previous studies have evidenced that evaporation conditions (applied field, laser pulses power and based temperature) may have an impact on the measured III to V atomic ratio but also, in the case of ternary alloy, on the III site atoms detection [2-4]. Questions arise : Can APT composition measurements on ternary nitrides be trusted? How can we determine whether they are correct or not? Can we reproduce good measurements between samples?… In this study, we review the effect of APT evaporation parameters on well known ternary alloy InGaN, AlGaN and InAlN but also on the promising boron gallium nitride BGaN. The samples are grown with different alloy composition and by molecular beam epitaxy (MBE). As a reference, to optimize the APT evaporation parameters, compositions of the sample are first measured by X-ray diffraction (XRD). We first demonstrate that changes in the laser pulse’s power and the evaporation rate of the APT tips have no effects on the measured composition for InGaN and InAlN. The field applied on the tips being linked to this two parameters, the relative evaporations of ions in InGaN and AlGaN are not sensitive to the intensity of the field. On the opposite, we demonstrate that the intensity of the field alters the measured III site ratios in AlGaN and BGaN. The intensity of the field in this two samples can be monitored by the ratio between Ga++ ions and Ga+ ions. At a high ratio in AlGaN (and consequently a high field), Al concentration is overestimated due to the possible loss of Ga ions between laser pulses and under the unique application of the field. The same situation is observed in BGaN where boron concentration is overestimated at high fields. Correct III site ratios are obtained at low field applied on these two alloys. Experimentally, in-situ changes of the laser power and evaporation rate to achieve low Ga++/Ga+ ratios allow for correct composition measurements in each samples. Moreover, when ternary alloys are grown with a [0001] direction, we observe a high field pole region at the center of the tip corresponding to a low density region at the center of the 3D reconstruction. High field regions being sensitive to detection artifacts, alloy compositions are obtained on sampling volumes away from this region. Statistical distribution analysis are performed on these volumes to directly highlight if the alloys can be considered as randomly distributed or subjected to clustering effects. We finally demonstrate that by taking into account alloy disorder obtained from APT measurements in devices simulations, we obtain good agreement with observed emission spectra, bias voltages and droop behavior. A 3D absorption model based on the recently developed localization landscape theory [6] is used to efficiently compute the physical properties of nitride structures without having to resort to the Schrödinger equation. This model is implemented with APT results such as compositional disorder or layer width fluctuations. In conclusion, APT is a very valuable tool to obtain alloy disorder at the nanometer scale when the analysis are performed carefully or the results correlated with other characterization techniques. Simulations which takes into account APT results show a very good agreement with experimental data obtained on nitride based devices. [1] Gault, B., et al. (2012). Atom Probe Microscopy. Springer Science & Business Media. [2] Rigutti, L., et al. (2016). ScriptaMaterialia. [3] Mancini, L., et al. (2014). The Journal of Physical Chemistry C, 118(41), 24136–24151. [4] Bonef, B., et al. (2016).Nanoscale Research Letters, 11(1), 461. [5] Moody, M. P., et al. (2008). Microscopy Research and Technique, 71(7), 542–50. [6] Piccardo, M., et al (2017). Physics review B.

A.8.1
11:00
Authors : C. Trager-Cowan [1], G. Naresh-Kumar [1], M. Nouf-Allehiani [1], J. Bruckbauer [1], G. Kusch [1], P. R. Edwards [1], C. Brasser [1], E. Pascal [1], S. Vespucci [1], S. Kraeusel [1], B. Hourahine [1], R. W. Martin [1], Y. Zhang [2], M. Athanasiou [2], Y. Gong [2], J. Bai [2], K. Xing [2], X. Yu [2], B. Xu [2], Z. Li [2], Y. Hou [2], R. M. Smith [2], T. Wang [2], E. Le. Boulbar [3], J. Priesol [3], D. W. E. Allsopp [3], P.A. Shields [3], F. Mehnke [4], T. Wernicke [4], C. Kuhn [4], J. Enslin [4], M. Kneissel [4,5], A. Knauer [5], V. Kueller [5], M. Weyers [5], A. Winkelmann [6], A.Vilalta-Clemente [7], A. J. Wilkinson [7]
Affiliations : [1] Department of Physics, SUPA, University of Strathclyde, Glasgow G4 0NG, UK; [2] Department of Electronic and Electrical Engineering, University of Sheffield, Sheffield, UK; [3] Department of Electrical and Electronic Engineering, University of Bath, BA2 7AY, UK; [4] Institute of Solid State Physics, Technische Universität Berlin, 10623 Berlin, Germany; [5] Ferdinand-Braun-Institut, Leibnitz-Institut für Höchstfrequenztechnik, 12489 Berlin, Germany; [6] Bruker Nano GmbH, Am Studio 2D, 12489 Berlin, Germany; [7] Department of Materials, University of Oxford, Oxford OX1 3PH, UK

Resume : The novel scanning electron microscopy techniques of electron backscatter diffraction (EBSD); electron channelling contrast imaging (ECCI) and hyperspectral cathodoluminescence imaging (CL) provide complementary information on the structural and luminescence properties of materials rapidly and non-destructively with a spatial resolution of tens of nanometers. EBSD provides orientation, phase and strain analysis, whilst ECCI is used to determine the planar distribution of extended structural defects such as threading dislocations and stacking faults over a large area of a given sample. CL provides information on the influence of crystallographic defects on light emission, either specific defect-related luminescence or dark spot features, where carrier recombination at defects is non-radiative. CL can also provide information on the composition of alloy thin films used in the manufacture of light emitting devices, e.g., the AlN content in AlGaN thin films. In this talk I will describe the EBSD, ECCI and CL techniques and illustrate the use of coincident EBSD/ECCI/CL data for probing the properties of nitride semiconductor structures. For example I will discuss our investigations of the type, density and distribution of defects and the distribution of strain in patterned polar and semipolar GaN and in polar AlGaN and show the influence of defects and strain on their luminescence properties.

A.8.2
11:30
Authors : Tomoyuki Tanikawa, Kazuki Ohnishi, Masaya Kanoh, Takashi Mukai, and Takashi Matsuoka
Affiliations : Institute for Materials Research, Tohoku University; Nichia Corporation

Resume : For GaN-based power devices, bulk GaN crystal with low defect density is indispensable. The density of threading dislocations (TDs) in bulk GaN is determined by many factors: growth thickness, growth methods, etc. To achieve much less TDs in bulk GaN, it is important to clarify how TDs propagate in GaN crystal. In this study, the two-photon excited photoluminescence (2PPL), which does not need the special sample preparation, was proposed to analyze the three-dimensional distribution of TDs in GaN. A 160-µm-thick GaN film was grown on a c-plane GaN/sapphire template by HVPE. The distribution of TDs was characterized by 2PPL. A Ti:Sapphire laser with a wavelength of 700 nm was used. The laser beam was focused on the sample by an objective lens. 2PPL emission occurs at the focal point in GaN. Only a near-band-edge emission of GaN was detected by using a band-pass filter. Three-dimensional 2PPL images were obtained by sweeping the focal point of a laser beam in both directions of the in-plane and the depth. The in-plane 2PPL image measured at a fixed focal depth showed dark spots, which corresponded to TDs. By sweeping the focal depth, the thickness dependence of the TD density could be obtained. The density of TDs at the depth of 0 µm (surface), 50 µm, and 100 µm were 6.6 × 107, 8.0 × 107, and 1.2 × 108 cm−2, respectively. The three-dimensional image clearly showed the properties of TD’s propagation. It can be concluded that 2PPL is useful for investigating the TDs in bulk GaN.

A.8.3
11:45
Authors : Catherine Bougerol1-2, Lynda Amichi1-3, Isabelle Mouton1-4, David Cooper1-4, Philippe Vennegues5, Philippe De Mierry5, Amélie Dussaigne1-4, Pierre-Henri Jouneau1-3, Adeline Grenier1-4
Affiliations : 1 Univ. Grenoble Alpes, F-38000 Grenoble, France ; 2 CNRS, Inst. NEEL, F-38042 Grenoble, France ; 3 CEA-INAC, F-38054 Grenoble, France ; 4 CEA-LETI, F-38054 Grenoble, France ; 5 Université Côte d?Azur, CRHEA, Rue Bernard Grégory, 06560 Valbonne, France

Resume : Obtaining highly conductive p-type GaN and AlGaN layers is one of the major challenges faced at the moment by the III-nitrides community. Mg atoms are commonly used as dopants, however analyses that can accurately determine the incorporated concentrations and the spatial distribution of the dopants, as well as the evaluation of their activity are still required: Mg has been reported to segregate into a variety of defects such as pyramidal inversion domains which would cause a drop in free carrier concentration, a detrimental effect to the electrical properties of the devices. By correlating atom probe tomography (APT) and off-axis electron holography on the same (Al)GaN samples, we have analyzed the doping concentration and the 3D distribution of Mg and estimated the electrical activity. The samples were grown by MOCVD or MBE with various growth temperature or nominal doping concentration. For example, from APT reconstruction, Mg clusters have been observed in layers grown by MOCVD at temperatures as low as 970°C, their size increasing with the growth temperature. The potential images obtained by off-axis electron holography suggest a higher Mg activity in the regions grown at the lowest temperature. The presence of homogeneously distributed Mg atoms has been detected also in the non-intentionally doped layers separating the doped ones, with an estimated concentration of 9.6x1019 cm-3. Results on GaN layers with various nominal doping will be also presented.

A.8.4
12:00
Authors : Ramon Collazo [1], Pramod Reddy [1,2], Shun Washiyama [1], Felix Kaess [1], Ronny Kirste [2], Seiji Mita [2], James Tweedie [2], Michael Gerhold [3] and Zlatko Sitar [1]
Affiliations : [1] Department of Materials Science and Engineering, North Carolina State University, Raleigh, NC 27695-7919, USA; [2] Adroit Materials, Inc., 2054 Kildaire Farm Rd., Cary NC 27518, USA; [3] Engineering Science Directorate, Army Research Office, P.O. BOX 12211, Research Triangle Park, NC 27703, USA

Resume : The defect incorporation in Al/GaN is dependent on the defect formation energy and hence on associated chemical potentials and the Fermi level. For example, the formation energy of CN in Al/GaN varies as chemical potential difference (µN- µC) and -EF (Fermi level). Here, we demonstrate a systematic point defect control by employing the defect formation energy as tool by (a) chemical potential control and (b) Fermi level control. Chemical potential control (µN and µC) with a case study of C in MOCVD GaN is reported. We derive a relationship between growth parameters, metal supersaturation (i.e. input and equilibrium partial pressures) and chemical potentials of III/N and impurity atoms demonstrating successful quantitative predictions of C incorporation as a function of growth conditions in GaN. Hence growth environment necessary for minimal C incorporation within any specified constraints may be determined and C is shown to be controlled from >1E19 cm-3 to ~1E15 cm-3. Fermi level control based point defect reduction is demonstrated by modifying the Fermi level describing the probability of the defect level being occupied/unoccupied i.e. defect quasi Fermi level (DQFL). The DQFL is modified by introducing excess minority carriers (by above bandgap illumination). A predictable (and significant) reduction in compensating point defects (CN, H, VN) in (Si, Mg) doped Al/GaN measured by electrical measurements, photoluminescence and secondary ion mass spectroscopy (SIMS) provides experimental corroboration. Further, experiments with varying steady state minority carrier densities at constant illumination prove the role of minority carriers and DQFL in defect reduction over other influences of illumination that are kept constant.

A.8.5
 
InN and InGaN : Peter Parbrook
13:45
Authors : R. A. Oliver, P. A. J. Bagot, A. Bao, M. A. Caro, I. Boyd, M. J. Davies, P. Dawson, W. Y. Fu, J. T. Griffiths, A. Howkins, C. J. Humphreys, M. J. Kappers, T. L. Martin, M. P. Moody, E. P. O?Reilly, B. Rouet-Leduc, S. Schulz, D. P. Tanner, D. J. Wallis, D. Stowe, D. Sutherland, F. Tang, S. Zhang, D. Zhu
Affiliations : Department of Materials Science and Metallurgy, University of Cambridge, Cambridge CB3 0FS, U.K.; Department of Materials, University of Oxford, Oxford OX1 3PH, U.K.; Department of Electrical Engineering and Automation, Aalto University, Espoo 02150, Finland; Experimental Techniques Centre, Brunel University, Uxbridge UB8 3PH, U.K.; School of Physics and Astronomy, Photon Science Institute, Alan Turing Building, University of Manchester, Manchester M13 9PL, U.K.; Photonics Theory Group, Tyndall National Institute, Dyke Parade, Cork, Ireland; Gatan U.K., 25 Nuffield Way, Abingdon, Oxon OX14 1RL, U.K.;

Resume : Despite the apparent simplicity of the structure, a thin sheet of InGaN sandwiched on either side by thicker sheets of GaN, InGaN/GaN quantum wells (QWs) have provided a surprisingly rich field of study. Debate raged for many years about the existence of indium clusters which had been postulated to have a profound effect on the optical properties of these material. Atom probe tomography was pioneered in the nitrides in order to address this question, and whilst early studies revealed that QWs grown on the c-plane typically have a random alloy distribution, more recent work on non-polar QWs suggests that in this case indium clustering may occur. Theoretical calculations, both at the atomistic and the continuum level, can provide insight into how the observed microstructure effects the optical properties, providing a link between the microstructural information and photoluminescence data. Alternatively, the small scale structure and its luminescence properties may be linked rather directly in nanocathodoluminescence (nanoCL) measurements performed in the scanning transmission electron microscope (STEM). It is possible to identify the separate emissions arising from each individual quantum well in a light emitting diode (LED) and to show that the emission wavelength is influenced not only by the structure observed in STEM but also by the local doping. Here again, theoretical calculations are vital to elucidate the mechanisms by which the doping influences the luminescence.

A.9.1
14:15
Authors : Cory Lund1, Karine Hestroffer1, Nirupam Hatui1, Shuji Nakamura2, Steven P. DenBaars2, Umesh K. Mishra1, Stacia Keller1
Affiliations : 1Electrical & Computer Engineering Department, University of California, Santa Barbara, CA 93106, USA; 2Materials Department, University of California, Santa Barbara, CA 93106, USA

Resume : Smooth N-polar InGaN films and quantum wells (QWs) were grown by MOCVD on relaxed InGaN pseudo-substrates (PSs). All InGaN layers grown on PSs exhibited at least 50% higher In compositions compared to those grown on GaN base layers, confirming higher In uptake with reduced lattice mismatch. The N-polar InGaN PSs were grown by MBE on GaN-on-sapphire templates with a misorientation of 4? towards the m-GaN direction as reported previously by Hestroffer et al. The surfaces of the graded InGaN layers exhibited interlacing finger structures caused by the Ehrlich-Schwöbel effect. The MOCVD growth on the InGaN PSs was initiated with 3 nm GaN, restoring the original step structure of the MOCVD-grown GaN templates. 200 nm thick InGaN films were then deposited using a novel digital approach, alternating the growth of 2 nm InGaN with and without H2 present to avoid the formation of hexagonal defects typically seen on thick N-polar InGaN films. XRD reciprocal space maps confirmed that the regrown InGaN layers assumed the in-plane lattice spacing of the relaxed PS surfaces, larger than that of GaN. In addition the PL at 490 nm from the InGaN films grown on PSs was twice as intense compared to that from reference samples grown on GaN which emitted at 430 nm. Finally, 3 period QW structures composed of 2 nm thick InGaN wells and 9 nm thick GaN barriers were investigated. Details of the growth, structural and optical properties evaluated by AFM, XRD and PL will be discussed at the conference.

A.9.2
14:30
Authors : P. Polovodov (1), W. Hahn (1), J. S. Speck (2), A. Alhassan (2), J.-M. Lentali (1), M. Filoche (1), L. Martinelli (1), C. Weisbuch (1,2), Y. Lassailly (1) and J. Peretti(1).
Affiliations : 1- Laboratoire de Physique de la Matière Condensée, CNRS-Ecole Polytechnique, Université Paris-Saclay, 91128 Palaiseau, France 2- Department of Materials, University of California, Santa Barbara, California 93106, USA

Resume : Composition disorder in InGaN alloys leads to strong localization effects, significantly affecting electronic and optical properties [1]. We present a new approach which allows a direct probing at the nanometer scale of disorder-induced localization effects on the transport and recombination in InGaN/GaN quantum wells. In our configuration, electrons are locally injected from a STM tip into the conduction band of the GaN cap layer and are captured in the InGaN quantum well where they radiatively recombine with holes. Thereby, scanning the tip allows combined high-resolution mapping of luminescence and topography. The luminescence images show no correlation between light emission and dislocations revealed by characteristic hexagonal etch pits on the topography images, in contrast with what was previously asserted [2]. Luminescence spatial fluctuations are observed at a few nanometer scale, compatible with alloy disorder-induced localization effects. These results are well explained by the localization landscape model [3,4], which determines the effective potential undergone by the injected carriers, taking into account the alloy compositional fluctuations [5]. [1] T.-J. Yang et al., J. Appl. Phys. 116, 113104 (2014) [2] S. K. Manson-Smith et al., Phys. Stat. Sol. B 228, 445 (2001) [3] M. Filoche and S. Mayboroda, Proc. Natl Acad. Sci.USA 109, 14761 (2012). [4] D. Arnold et al., Phys. Rev. Lett. 116, 056602 (2016). [5] J. M. Lentali et al., this conference

A.9.3
14:30
Authors : Armelle Even1, Adeline Grenier1, David Coope1r, Eric Robin2, Benedikt Haas2, Pierre Ferret1, François Lévy1, Ivan-Christophe Robin1, Amélie Dussaigne1
Affiliations : 1CEA-Leti; 2CEA-INAC

Resume : InGaN based light emitting diodes emit efficiently in the blue range however at longer wavelengths their efficiency decreases. The important lattice mismatch between the InGaN active layer and GaN buffer layer is one of the main issues. It implies compressive strain which is responsible for the presence of an internal electric field in quantum wells (QW) and a reduced indium incorporation rate. To reduce the lattice mismatch and strain in the structure, full InGaN structures were grown on InGaN substrates (InGaNOS). These substrates are manufactured by Soitec company using Smart CutTM technology. Three different lattice parameters (3.190, 3.200 and 3.205 Å) were tested. A conventional structure on GaN was used as a reference with same QW growth conditions. PL measurements on the InGaNoS specimens showed that when the substrate lattice parameter was closer to that of the QW structures, a red-shift occurred (525 nm to 549 nm). When compared to regular structures grown directly on GaN, the red-shift was stronger (49 nm). As the well widths are thinner than 3 nm, it suggests an increase of In content with increasing substrate lattice parameter. An evaluation of In content has been measured by energy dispersive X-ray spectroscopy and deformation mapping. Atom probe tomography measurements will assess the In content distribution in QWs. Finally, the internal electric field in active region has been measured using off-axis electron holography.

A.9.4
15:00
Authors : P. Wolny,1 M. Sawicka,2,4 H. Turski,2 G. Muziol,2 M. Siekacz,2,4 M. Anikeeva,3 T. Schulz,3 M. Albrecht,3 and C. Skierbiszewski,2,4
Affiliations : 1. Paul-Drude-Institut für Festkörperelektronik, Hausvogteiplatz 5-7, 10117 Berlin, Germany 2. Institute of High Pressure Physics, PAS, Sokolowska 29/37, 01-142 Warsaw, Poland 3. Leibniz-Institute for Crystal Growth, Max-Born-Str. 2 12489 Berlin, Germany 4. TopGaN Ltd, Sokolowska 29/37, 01-142 Warsaw, Poland

Resume : The InN/GaN Short-Period-Superattices (SPSLs) in spite of its great potential for creation of new class of digital alloys with low energy bandgap still suffers from technological issues related to the growth of InN monolayer (ML) quantum wells (QWs) embedded in GaN barriers. There is an increasing number of experiments which point out that growth of nominally 1 ML of InN QW results in growth of 1 ML of InGaN with only 25%-35% of In. Probably one of the reasons for such situation is the fact that InN QWs are grown at relatively high growth temperatures. The InN/GaN SPSLs are grown typically at temperatures about 200C higher than thick InN. In this work we investigated growth of nominally 1 ML InN QWs embedded in 2% InGaN barriers as a function of the growth temperature. The growth temperature was changed in the range from 650C down to 490C. The In content in QWs was determined by HRTEM. Surprisingly, we observed that for all these temperatures the In content in QWs remain almost constant – equal to 25-30%. We will discuss the reason for such behavior. One of the possible explanation is the intermixing of Ga and In atoms between InGaN barrier and InN QW during the initial stages of the barrier growth. Acknowledgements. This work was supported by the EU SPRING Grant 642574.

A.9.5
15:15
Authors : N. Nepal1, V.R. Anderson2, S.D. Johnson1, S.G. Rosenberg2, A.C. Kozen2, C. Wagenbach3, D.J. Meyer1, B.P. Downey1, J.K. Hite1, V.D. Wheeler1, Z.R. Robinson4, D. R. Boris1, S.G. Walton1, K.F. Ludwig3, and C.R. Eddy, Jr1
Affiliations : 1U.S. Naval Research Laboratory, 4555 Overlook Avenue SW, Washington, DC 20375, USA 2Postdoctoral Fellow, ASEE, 1818 N Street NW, Washington, DC 20036 3Physics Department, Boston University, Boston, Massachusetts 02215, USA 4Department of Physics, SUNY College at Brockport, Brockport, NY 14420, USA

Resume : The relatively high growth temperature of III-N semiconductor synthesis techniques has impeded further development and application of the materials due to challenges with miscibility gaps and strain related to thermal expansion mismatch with non-native substrates. To address these challenges, atomic layer epitaxy (ALEp) offers a new approach with growth temperature less than half of those needed for conventional growth methods. Since growth using this technique is far from thermodynamic equilibrium, understanding the effects of ALEp growth parameter space on growth kinetics is essential to further improving the method and the resulting electronic materials. In this paper, we present the synthesis of high quality InN films by ALEp on a-plane sapphire substrate at temperatures below 250 °C. First, we find the optimal growth parameter space such as the substrate surface preparation, plasma conditions, growth temperature, precursor pulse/purge time using different ex situ characterization methods and apply those parameters to further understand/develop nucleation and film growth by monitoring the morphological evolution using real-time grazing incidence small angle x-ray scattering (GISAXS) measurements. The nature of GISAXS evolution directly relates to the material quality. Both ex situ and in situ growth study show that the starting surface, N2/Ar flow ratio, and plasma time significantly affects the nucleation and growth of InN. Based on various ex situ characterization methods, the quality of the ALEp grown films is found to be similar or better than the material grown by conventional growth methods, such as, molecular beam epitaxy at higher temperature (>400 °C).

A.9.6
15:30
Authors : Jong-Hoi Cho, Y. M. Kim, Hwan-Seop Yeo, Seung-Hyuk Lim, Sejeong Kim, Su-Hyun Gong, Yong-Hoon Cho
Affiliations : Department of Physics and KI for the NanoCentury, Korea Advanced Institute of Science and Technology, 291 Daehak-ro, Yuseong-gu, Daejeon 34141, Republic of Korea.

Resume : The semiconductor quantum-dots (QDs) have received much attention for quantum information processes such as quantum computing and quantum cryptography. Especially, III-nitride based QDs grown on pyramidal structures possess attractive properties for electrical driven operation at room-temperature. However, large built-in electric field and high defect density in III-nitride based QDs cause large inhomogeneous linewidth broadening, which interrupts the coherence property of single photon. In this study, we propose a novel approach for suppression inhomogeneous linewidth broadening of single photon emission via an InGaN/GaN nano-pyramid structure grown by metal-organic chemical vapor deposition. The single InGaN QD was formed at the apex of nano-pyramid structure, where InGaN QD height closed to the exciton Bohr radius. The permanent dipole moment within InGaN QD was reduced, which leads to suppression of spectral diffusion. As a result, we observed no measureable screening effect evidencing a negligible quantum confined Stark effect, and a spectral-resolution limited emission. This emitted photon exhibited sub-Poissonian statistics at an elevated temperature with high degree of linear polarizability. Consequently, this work presents an advanced growth geometry of InGaN QD embedded in nano-pyramid structure, which improves the limitations of III-nitride based single photon sources.

A.9.7
 
Characterization : Philippe Vennéguès
16:15
Authors : Knut Müller-Caspary [1], M. Duchamp [2], F.F. Krause [3], A. Beche [1], F. Winkler [2], S. Löffler [4], M. Huth [5], S. Ihle [5], R. Ritz [5], H. Soltau [5], J. Zweck [6], P. Schattschneider [7], J. Verbeeck [1], S. van Aert [1], R.E. Dunin-Borkowski [2], A. Rosenauer [3]
Affiliations : [1] EMAT, Universiteit Antwerpen, Antwerpen, Belgium [2] Forschungszentrum Jülich, Jülich, Germany; [3] IFP, University of Bremen, Bremen, Germany; [4] USTEM, TU Wien, Wien, Austria; [5] PN Detector GmbH, Munich, Germany; [6] University of Regensburg, Regensburg, Germany; [7] IFP, TU Wien, Wien, Austria

Resume : We show in theory, simulation and experiment how atomic electric fields and charge densities can be measured by 4D-STEM. In this imaging mode, 2D diffraction patterns are recorded on a pixelated detector at a 2D STEM raster. In quantum mechanics, the first moment p of a diffraction pattern is related to the expectation value for the momentum transfer and provides a quantitative measure of the angular deflection of the STEM probe in electric or magnetic fields [1]. This overcomes ambiguities in conventional differential phase contrast STEM where segmented detectors record portions of the diffraction pattern and average over large angular domains [2]. Due to Ehrenfest’s theorem, p is proportional to the expectation value of the electric field. For sufficiently thin specimens, we found that p is also proportional to the projection of the electric field, convolved with the incident STEM probe intensity. Furthermore, its divergence directly yields the projected charge density, convolved with the probe intensity [1,3]. This contribution develops the method using GaN simulations as a model system, including atomic electric field, charge density mapping, the investigation of bonding and piezoelectric polarisations. Experimental proofs are given using SrTiO3, 2D MoS2 and GaN. In particular, ultrafast pixelated detectors such as the pnCCD camera are employed running at 4kHz frame rate. With the ability to map atomic electric fields and charge densities directly without structural input, aberration-corrected 4D-STEM can shed light on the electrical configuration of vacancies, dopant atoms, bonding or polarisation fields in ferro- and piezoelectric materials [3]. [1] Nat. Comm. 5, 5653, 2014 [2] Nat. Phys 8, 611, 2012 [3] Ultramicrosc. 2016, 10.1016/j.ultramic.2016.05.004

A.10.1
16:45
Authors : Gordon Callsen, Marina Castelli, Wei Liu, Gwénolé Jacopin, Shojiki Kanako, Sebastian P. Tamariz Kaufmann, Ian M. Rousseau, Camille Haller, Joachim Ciers, Pirouz Sohi, Denis Martin, Jean-François Carlin, Raphaël Butté, and Nicolas Grandjean
Affiliations : Institute of Physics, École Polytechnique Fédérale de Lausanne (EPFL), CH-1015 Lausanne, Switzerland

Resume : Isoelectronic doping of III-V and II-VI semiconductors in the dilute limit cannot only increase the overall quantum efficiency, but also allows exploring the transition from a doped, binary semiconductor to a ternary alloy governed by the ratio between the exciton Bohr radius and the impurity concentration. We study the optical properties of truly bulk InGaN pseudomorphic layers (100 nm thickness, 0.01 - 2.4% indium) grown on freestanding GaN substrates. By combining absorption and high-resolution (time-resolved) photoluminescence spectroscopy, we observe a continuous transition from a purely excitonic regime (linewidths < 500 µeV) towards the onset of an impurity band formation at the expense of the bound exciton intensity. Our time-resolved analysis of such exciton transfer processes towards the extending network of isoelectronic centers provides detailed insight into the initial formation of the alloy. The large electron and hole masses render InGaN a prototypical system for studying the trapping of excitons at individual isoelectronic centers, pairs, or more extended chains. In addition, we observe an improvement of the optical properties of our samples upon a moderate rise in indium incorporation, while the structural properties are hardly affected, as evidenced by resonant Raman spectroscopy. Our results allow drawing connections with ongoing discussions in the literature on point defects and deep traps thanks to the low density of structural defects in our samples.

A.10.2
17:00
Authors : Erin C. Young[1], Thomas E. Mates[1], Christian Robertson[1], Saulius Marcinkevicius[2], Nicolas Grandjean[3], James S. Speck[1]
Affiliations : [1] University of California, Santa Barbara, USA; [2] KTH Royal Institute of Technology, Sweden; [3] Ecole Polytechnique Federale de Lausanne, Switzerland

Resume : Despite exhibiting excellent electrical properties including world record electron mobilities, high structural quality, and low levels of standard atmospheric impurities such as H,C, and O, (In,Ga)N alloys grown by molecular beam epitaxy have traditionally shown very poor radiative efficiency in optoelectronic devices. Recently we have discovered that MBE material grown both at UCSB and in other laboratories worldwide systematically contains high levels (up to ~1e18 /cm3) of an impurity element, calcium, and have experimental evidence that this defect may act as a Shockley Read Hall recombination center in MBE alloys. We have investigated the incorporation of Ca as a function of MBE growth conditions and demonstrated a method to grow MQW active regions with reduced Ca concentration via low temperature buffer layers. Preliminary LEDs grown with Ca reduction in the QWs show higher output power than those with high Ca concentration in in the QWs. We will give an overview of these studies and the potential implications for light emitting devices grown by MBE.

A.10.3
17:15
Authors : B. Monemar1, P. P. Paskov1, J. P. Bergman1, K. Takeda2, M. Iwaya2, T. Takeuchi2, S. Kamiyama2 and I. Akasaki2
Affiliations : 1Department of Physics, Chemistry and Biology, IFM, Linköping University, S-58183 Linköping, Sweden; 2Faculty of Science and Technology, Meijo University, Nagoya 468-8502, Japan

Resume : Efforts to achieve a high electron conductivity in GaN on sapphire via Si-doping have in the past been limited by segregation of the Si atoms at structural defects. A higher conductivity is desired to reduce the series resistance in many devices, like LEDs. We report on a method to increase the incorporation of Si dopants by simultaneous introduction of Al during MOCVD growth. Al compositions between 0.8 and 9 % have been studied. Undoped samples were also prepared and studied as a reference for each Al composition. We present optical (photoluminescence PL) and electrical (Hall measurements) data from an extensive set of samples produced on sapphire by this MOCVD growth procedure. The undoped samples show an excitonic near bandgap emission, which broadens and shifts to lower energies with increasing measurement temperature. The PL spectra at 2 K for the highly Si-doped samples show a broad continuum emission with a sharp high energy cutoff corresponding to the Fermi level position in the conduction band. At high temperatures a broad emission related to recombination between free electrons and holes close to the valence band dominates. The electron concentration in the highest doped samples was 4.5 1020 cm-3 (from Hall data), independent of temperature. The mechanism behind the remarkable improvement in conductivity obtained by a dilute Al content is discussed in terms of the different mobility of surface atoms during growth.

A.10.4
17:30
Authors : Elias Baron1, Martin Feneberg1, Rüdiger Goldhahn1, Michael Deppe2, and Donat J. As2
Affiliations : 1Institut für Experimentelle Physik, Otto-von-Guericke-Universität Magdeburg, Germany; 2Department Physik, Universität Paderborn, Germany

Resume : The optical properties of highly-doped zincblende GaN (c-GaN) are investigated. Using germanium, free electron concentrations (n) exceeding 10^20cm?3 can be achieved while maintaining high structural sample quality. Thin films were deposited by plasma-assisted molecular beam epitaxy on 3C-SiC quasi-substrates. They were studied comprehensively by emission and absorption related optical techniques. Spectroscopic ellipsometry yields the complex dielectric function (DF) of c-GaN from the mid-infrared into the deep ultraviolet spectral region. The transversal-optical phonon and plasma frequencies are obtained from the IR-DF. Combined with Hall-effect data, we find a pronounced increase of the effective electron mass with n mirroring the non-parabolicity of the conduction band. The onset energy of interband absorption is determined by the fundamental band gap for lower n and blue-shifts due to phase-space-filling for increased electron density. Quantification of this so-called effective Burstein-Moss shift is possible when taking into account the counteracting band gap renormalization effect and the momentum dependence of the effective electron mass. Photoluminescence spectra reveal a blue-shift of the main recombination feature consisting of a donor-acceptor-pair band at doping levels below the degeneracy limit and a free-electron recombination band above. The lineshape fitting yields parameters emphasizing the values for gap renormalization and band filling obtained from DF.

A.10.5
17:45
Authors : Frank Bertram1, Andreas Voß1, Alexander Reuper1, Gordon Schmidt1, Peter Veit1, Sebastian Metzner1, Christoph Berger1, Jürgen Bläsing1, Armin Dadgar1, Emanuele Poliani2, Markus Wagner2, Janina Maultzsch2,3, André Strittmatter1, and Jürgen Christen1
Affiliations : 1 Institut für Experimentelle Physik, Otto-von-Guericke-Universität Magdeburg, Germany; 2 Institut für Festkörperphysik, Technische Universität Berlin, Germany; 3 Institut für Physik der Kondensierten Materie, Friedrich-Alexander-Universität Erlangen-Nürnberg, Germany

Resume : Distributed Bragg reflectors (DBRs) have widespread use as optical mirrors and can be monolithically integrated into light-emitting semiconductor devices. Narrow-bandwidth DBR structures solely based on modulation doped GaN layers were recently developed showing 95 % reflectivity in the near UV [1]. The refractive index change within the GaN was obtained by exploitation of the doping-induced shift of the absorption edge towards higher energies at Ge concentrations >10E18 cm-3. Using cathodoluminescence spectroscopy performed in a scanning transmission electron microscope (STEM-CL), we analyze the optical and structural properties of a modulation doped GaN:Ge DBR with 100 /4 layer pairs grown by metal organic vapor phase epitaxy on a sapphire substrate. The nominal doping concentration was set to 1.5 x 10E20 cm-3 for the GaN:Ge to achieve a nominal refractive index contrast of about 2%. Cross-sectional STEM-CL exhibits a modulated panchromatic intensity in the DBR at 15 K. Probing the luminescence properties of single DBR layers, a band-band recombination at 343 nm is apparent in the GaN:Ge layer indicating an electron concentration exceeding the Mott transition. In contrast, excitonic emission at 356 nm is found in the undoped layer. The high doping concentration in GaN:Ge DBR layers enables the observation of longitudinal optical phonon plasmon modes in tip enhanced Raman spectroscopy. [1] Berger et al., Journal of Crystal Growth 440, 6–12 (2016).

A.10.6
 
Wednesday Poster : Juergen Christen
18:00
Authors : H. Ben Ammar1, R. Mohamad1, M. P. Chauvat1, A. Minj1, P. Gamarra2, C. Lacam2, M. Tordjman2, M. Morales1, Jun Chen1 and P. Ruterana1
Affiliations : 1 Centre de Recherche sur les Ions, les Matériaux et la Photonique UMR 6252, CNRS ENSICAEN UCBN CEA, 6 Boulevard du Maréchal Juin, 14050 Caen Cedex, and Domaine Universitaire du Mont Foulon, 61000 Damigny, France 2 III-V Lab, 1 Avenue Augustin Fresnel, Campus Polytechnique, 91767 Palaiseau, France

Resume : Due to their band gaps which may extend from InN (0.65 eV) to AlN (6.2 eV), the InAlN alloys are expected to be used for many applications such as: high electron mobility transistors (HEMT), distributed Bragg reflectors (DBR), and ultraviolet light emitting diodes (UV LED). Recently, it has been pointed out that, depending on the metal organic vapor phase epitaxy deposition chamber geometry, gallium can be incorporated in the intended ternary layer leading to a quaternary alloy [1]. However, the InAl(Ga)N can also be lattice matched with the GaN as no misfit dislocations or stacking faults have been reported. This is a major advantage over AlGaN/GaN where the strain at the interface cannot be avoided. Unfortunately, structural degradations have been reported when the thickness of the layer is increased and several degradation mechanisms have been proposed in the literature. The first mechanism suggested that the threading dislocations from the GaN were systematically connected with pinholes [2]. Subsequently, Perillat-Marceroz et al. [3] reported similar degradations of InAlN layers on free standing (FS) GaN as the thickness was increased. The low density of threading dislocations in FS substrates led the authors to propose that the acting mechanism was the spontaneous formation of pinholes at the coalescence of hillocks. Other authors have put forward the role of a critical value for the thickness [4]. In this work, we have used a combination of microscopy techniques and theoretical modelling in a detailed study of InAl(Ga)N layers grown in both horizontal and vertical close coupled shower head reactors. The microstructure of the defects was studied by conventional TEM and STEM in an atomic resolution JEOL ARM200 microscope for chemical sensitive imaging and the local composition by EDX. By atomic force and scanning tunneling microscopy we analyzed the surface structure of the layers and its evolution versus the growth conditions. From this investigation, it comes out that a robust mechanism which could explain the structural disruption of InAl(Ga)N/GaN still needs to be proposed, towards this objective we have obtained some hints. It is clear that understanding the layers growth and relaxation is critically important for obtaining optimal quality barriers for high performance HEMTs. References: [1] H. Ben Ammar, A. Minj, P. Gamarra, C. Lacam, M. Tordjman, M. A. di Forte-Poisson, M. Morales, M. P. Chauvat, and P. Ruterana, Phys. Status Solidi A (2016). DOI:10.1002/pssa.201600441 [2] Z. T. Chen, K. Fujita, J. Ichikawa, and T. Egawa, J. Appl. Phys. 111, 053535 (2012). [3] G. Perillat-Merceroz, G. Cosendey, J.-F. Carlin, R. Butté, and N. Grandjean, J. Appl. Phys. 113, 063506 (2013). [4] Q. Y. Wei, T. Li, Y. Huang, J. Y. Huang, Z. T. Chen, T. Egawa, and F. A. Ponce, Appl. Phys. Lett. 100, 092101 (2012).

A.2.1
18:00
Authors : B. Pécz1, I. Cora1, I. Lukacs1, A. Georgakilas2, A. Adikimenakis2 and R. Yakimova3
Affiliations : 1 Institute of Technical Physics and Materials Sciences, MTA EK, Konkoly T. M. út 29-33, H-1121 Budapest, Hungary 2 Microelectronics Research Group, IESL, FORTH and Physics Department, University of Crete, P.O. Box 1527, GR-71110 Heraklion, Crete, Greece 3 Department of Physics Chemistry and Biology Linköping University 581 83 , Linköping , Sweden

Resume : The integration of graphene sheets promises a lot of advantageous properties, including better heat management, in power devices. We have several evidence that the direct growth of GaN on graphene is challenging. However, already the MOCVD (metalorganic chemical vapour deposition) growth of nitrides over a patterned graphene/SiC surface was successfully realised (A. Kovács, M. Duchamp, R.E. Dunin-Borkowski, R. Yakimova, P.L. Neumann, H. Behmenburg, B. Foltynski, C. Giesen, M. Heuken and B. Pécz, Advanced Materials Interfaces, DOI: 10.1002/admi.201400230, Vol. 2, Iss. 2, January 21, 2015). The subject of the present study is the MBE (molecular beam epitaxy) growth of GaN on graphene/SiC. Graphene layers were prepared on the Si-terminated face of a 0001-oriented 6H-SiC single crystal by high-temperature sublimation process. At relatively low growth temperatures (300-400oC) large polycrystalline GaN grains could be grown with a nominal thickness of 30 nm. At higher temperature (700oC) and for much thicker layers (600 nm) a compact, but still polycrystalline GaN layer was obtained with columnar morphology. However, probably due to the RF-plasma treatment of the graphene/SiC template, before the MBE growth, the grown GaN is epitaxial, in some regions, to the few layers of graphene on SiC. Further experiments are in progress on patterned graphene/SiC templates in order to obtain high quality MBE grown nitride layers. The growth results, as well as the microscopy characterization will be presented.

A.2.2
18:00
Authors : Hu Liang, Steve Stoffels, Karen Geens, and Stefaan Decoutere
Affiliations : imec vzw

Resume : GaN vertical devices are of interest due to reduced electric field peaks near the surface, leading to an improved stability and reliability of the component, smaller chip size and superior thermal performance. High cost and small diameter of GaN substrates is an bottleneck for manufacturing GaN vertical power devices. In this work, we report the development of a GaN layer grown on 200 mm Si (111) substrates, for the semi-vertical GaN power devices application. The epitaxial growth is performed in a commercial MOVPE system, capable of growing on three 200 mm wafers in a single run. The challenge for growing a semi-vertical device stack is that it requires the growth of a very thick GaN region, in particular the n- drift region, should be several µm thick. The doping level and thickness of this drift region will determine the breakdown voltage of the transistor. Such thick layers on large dimension Si, when not optimized correctly, can result in epi-layer cracking, wafer bow out of specification and high risk of wafer breakage. By developing a dedicated AlN nucleation layer and AlGaN buffer layers, a high quality pit-free and crack-free semi-vertical GaN power structure is successfully accomplished with an n- drift region as thick as 2 µm, in a total GaN device thickness of 3.5 µm and a total epi-layer thickness of 4.5 µm, on 200 mm Si substrates with a wafer warp within ±50 µm and good mechanical stability.

A.2.3
18:00
Authors : Markus Pristovsek^1, Martin Frentrup, Tongtong Zhu, Fengzai Tang, Rachel. A. Oliver, Colin J. Humphreys
Affiliations : Department of Materials Science and Metallurgy, University of Cambridge, 27 Charles Babbage Road, Cambridge, CB3 0FS, UK ^1 current address: Center for Integrated Research for Future Electronics, Institute for Materials and Systems for Sustainability, Nagoya University, Furo-Cho, Chikusa-Ku, 464-8603 Nagoya, Japan

Resume : Semi-polar GaN orientations suffer from a high density of basal plane stacking faults (BSFs), which are deviations from the natural stacking order in the [0001] direction. BSF densities are usually estimated from cathodoluminescence (CL) or transmission electron microscopy (TEM), which are either limited to densities below 2000 cm^-1 (CL) or are very time consuming and destructive (TEM). But BSF densities can also be determined by X-ray diffraction (XRD) techniques, using the diffuse scattering from BSFs along [0001] for sensitive reflections. This has previously been demonstrated for non-polar GaN films using standard asymmetric and skew-symmetric measurement geometries. But these geometries cannot be used for (11-22) oriented films. However, orienting the (11-22) samples in a suitable zone (e.g. [-12-10]) allows to measure the BSF related scattering along a series of sensitive reflections like {10-1n} or {20-2n}. The BSF density can be then determined from the scattering profiles. Simulations of the broadening of {n0-n0} reflections due to BSFs in the literature results in pure Lorentzian profiles, i.e. a proportionality to ΔQ^-2 (with ΔQ: reciprocal space distance to the peak). The measurements also show a stronger Lorentzian contribution with increasing BSF density. Thus, we have used Pseudo-Voigt-fits to extract the Lorentzian contribution. The product of FWHM and Lorentzian contributions correlates well for BSF densities between 10^2 and 10^6 cm^-1 (by CL and TEM). Hence, XRD can measure BSF densities in (11-22) GaN films non-destructively within a few hours.

A.2.4
18:00
Authors : Faqrul Alam Chowdhury (1), Sharif Sadaf (1), Qing Shi (2), Ishiang Shih (1), Hong Guo (2), Zetian Mi (1,3).
Affiliations : (1) Department of Electrical and Computer Engineering, McGill University, Montreal, Quebec H3A0E9, Canada; (2) Department of Physics, McGill University, Montreal, Quebec H3A0E9, Canada; (3) Department of Electrical Engineering and Computer Science, Centre for Photonics and Multiscale Nanomaterials, University of Michigan, Ann Arbor, MI 48105, USA.

Resume : First principles studies have predicted that, by introducing a very small amount (1-5%) of antimony (Sb) into GaN, bandgap of the alloy can be reduced to as low as ~2 eV. This is equivalent to the incorporation of more than 30% of In in GaN, however, with substantially reduced lattice mismatch between GaSbN and GaN, compared to that between InGaN and GaN. To date, the epitaxial growth of dilute antimonide nitride semiconductors has remained largely unexplored, and little is known about their fundamental electronic and optical properties. In this study, we have successfully realized, for the first time, crystalline and optically active dilute antimonide III-nitride nanostructures, compared to previously reported polycrystalline or amorphous structures, and demonstrated a viable approach of rationally tailoring the bandgap of dilute antimonide GaSbN for visible light emission at room temperature. The structural and optical properties of the material were analyzed and complemented by the first principle calculation and theoretical studies. Successful implementation of hyper-abrupt GaSbN/GaN dot-in- wire heterostructures subsequently lead to the first demonstration of visible LED device as an archetype. This work here provides distinct opportunities for bandgap, strain, and polarization engineering to achieve high-efficiency, phosphor-free LEDs and will have a profound impact on solar energy conversion, including solar cells, solar fuels, and electrochemical devices and systems.

A.2.5
18:00
Authors : Min Han, Beo Deul Ryu, Kang Bok Ko, Chang Hee Jo, Yongsu Lim, Kwan Seon Joo, Do Trong Thanh, Tran Viet Cuong and Chang-Hee Hong
Affiliations : School of Semiconductor and Chemical Engineering, Semiconductor Physics Research Center, Chonbuk National University, Jeonju, Jeollabuk-do 54896, Korea

Resume : We investigated the characteristics of hexagonal boron nitride (h-BN) buffer layer for the direct growth of Ⅲ-nitride materials using MOCVD. Hexagonal boron nitride was reported to have unique properties such as a wide band gap, negative electron affinity and high thermal conductivity. Due to its wide bandgap, h-BN has a significant potential for deep ultraviolet (DUV) photonic device applications. Additionally, there is a possibility that the high thermal conductivity of h-BN can be applied to improve the heat dissipation of the UV-LED. This application is the same application that graphene inserted in blue LED has heat dissipation effect. In order to realize these applications, it is necessary to directly grow AlN onto the h-BN using MOCVD. In this study, we analyzed the characteristics of h-BN buffer layer for directly grown AlN on h-BN. We prepared three different h-BN buffers to study the h-BN buffer layer that is optimized for AlN layer growth. It is low-temperature buffer, no-buffer and pre-TEB treatment. On each different buffer, the AlN template grew to the same condition. A variety of measurements were taken to analyze the characteristics of the AlN template and identify the optimized h-BN buffer. We measured the absorption and raman spectroscopy to calculate the optical band gap and check for h-BN existence. X-ray photoelectron spectroscopy (XPS) was employed to analyze the surface binding configuration of h-BN. In addition, XRD was used to measure crystal quality in the AlN template. Analysis of the XPS B1s spectrum shows that there is a difference between the weak bonding intensity and the strong bonding intensity ratio in each buffer layer. It was confirmed that the change of the buffer layer affects the crystal quality of AlN template.

A.2.6
18:00
Authors : Shi-yu Xiao 1*, Yi-kang Liu 2, Hideto Miyake 1 2, Kazumasa Hiramatsu 2, Shunta Harada 3, Toru Ujihara 3
Affiliations : 1. Graduate School of Regional Innovation Studies, Mie University, Tsu, Japan; 2. Department of Electrical and Electronics Engineering, Mie University, Tsu, Japan; 3. Department of Materials Science and Engineering and Center for Integrated Research of Future Electronics (CIRFE), Institute of Materials and Systems for Sustainability (IMaSS), Nagoya University, Furo-cho, Chikusa-ku, Nagoya, Japan

Resume : In order to realize the full potential of AlxGa1-xN-based optoelectronic devices, high-quality AlN template is essential. Recently, our group reported the realization of high-quality sputtered AlN films by high temperature annealing. However, the mechanism of AlN quality improvement by annealing is still unclear. In this work, the qualitative improvement process was investigated through a detailed study on the microstructure of sputtered AlN films by transmission electron microscopy (TEM) observation. 250 nm AlN films were grown on c-plane sapphire substrates by sputtering. Subsequently the sputtered AlN films were thermally annealed in N2 at 1700 ºC for 1h “face-to-face” as described elsewhere. The full width half maximum (FWHM)s of X-ray rocking curves for (10-12) diffraction decrease from 3683.9 arcsec to 273.8 arcsec after annealing, while the FWHMs for (0002) are almost the same. Dislocations and strain in AlN layer decrease dramatically after annealing according to cross-sectional TEM observation taken along the [11-20] AlN zone axis. The high-resolution TEM observation of the AlN/sapphire interface with annealing reveals the polarity switches from N-polar to Al-polar AlN around several atom layers, as denoted as polarity inversion boundary, which locates about 10 nm from AlN/sapphire interface. The Energy dispersive X-ray spectroscopy (EDS) result shows a slight increase of oxygen element content at the polarity inversion boundary, which may causes the polarity switch.

A.2.7
18:00
Authors : Yanan Guo1,2, Lu Zhao1,2, Yun Zhang1,2*, Shuo Zhang1,2, Kun Yang3, Jun Wang4, Boyu Dong4, Shuai Yang1,2, Yujie Ai1,2, Junxi Wang1,2 Jinmin Li1,2
Affiliations : 1 Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083, China; 2 University of Chinese Academy of Sciences, Beijing 100049, China; 3 Hebei Synlight Crystal Co. Ltd., Baoding 071000, Hebei, China; 4 North Microelectronics, Beijing 100176, China

Resume : AlGaN-based deep ultraviolet (DUV) light-emitting diodes and laser diodes have attracted considerable attention owing to their wide range of applications in disinfection, water purification, bio-medical and high-density storage. A thick AlN layer is commonly used as a crucial template between a sapphire substrate and high-content AlGaN materials for DUV light emitters. Moreover, AlN-based surface acoustic wave resonators and bulk acoustic wave filters are very promising for sensors and mobile communications owing to high sound velocity, good piezoelectric properties and excellent temperature stability. However, the dislocation density in AlN films grown on foreign substrates is quite high, which would affect the device performances. There have been a few reports on MBE-grown, MOCVD-grown or sputtered AlN films with high-temperature thermal annealing to improve the crystalline quality[1-4]. In this article, we report on thermal annealing of thick AlN films sputtered on sapphire substrates for preparing high-quality AlN template. The influence of annealing temperature and pressure is presented. 800-nm AlN films were grown on 2-inch c-plane sapphire substrate by sputtering. The sputtered AlN films were placed face-to-face in the furnace and annealed in N2 for an hour. The annealing temperature was varied from 1500 to 1700℃ The annealing pressure was 150 Torr. The surface morphology of the AlN films was examined by atomic force microscopy (AFM). Before the thermal annealing, high density of tiny three-dimensional islands dominated the entire film surface. The root mean square (RMS) surface roughness was 1.48 nm in a scanning area of 5 μm×5 μm. The island structures were barely changed when AlN films were annealed at 1500℃, with an RMS roughness value around 1.50 nm. As the annealing temperature rose to 1600℃, the AlN island structures coalesced and the surface transformed into a step and terrace morphology. The RMS roughness value was reduced to 1.03 nm. Small amount of pits were observed on the surface owing to slight thermal decomposition of AlN films. The AlN thermal decomposition became more notable at 1700℃, and the surface RMS roughness degenerated to 2.66 nm. X-ray diffraction (XRD) was employed to evaluate the effect of annealing temperature on AlN crystalline quality. After AlN film was annealed at 1500℃, the full width at half-maximum (FWHM) values of the (0002) and (101(-)2) reflections were significantly decreased from 815 to 599 and 3565 to 1317 arcsec, respectively. The FWHM values of (0002) and (101(-)2) reflections were further decreased to 477 and 944 arcsec after annealing at 1600℃. However, the FWHM values of (0002) and (101(-)2) reflections were increased to 884 and 1471 arcsec owing to the thermal decomposition of AlN films when annealed at 1700℃. The minimum FWHM values were achieved after annealing at 1600℃. The reduction of FWHM values indicates that the crystalline quality of sputtered AlN films was improved by high-temperature annealing. But the surface of AlN films slightly decomposed according to the surface morphology characterization by AFM. To solve this problem, the annealing pressure was adjusted. When the annealing pressure was increased to 500 Torr, the thermal decomposition was suppressed due to increased nitrogen partial pressure. More details of the influence of the annealing pressure will be presented on the conference. [1] Greenlee, J.D., et al., Defect reduction in MBE-grown AlN by multicycle rapid thermal annealing. Electronic Materials Letters, 2016. 12(1): p. 133-138. [2] Miyake, H., et al., Annealing of an AlN buffer layer in N2–CO for growth of a high-quality AlN film on sapphire. Applied Physics Express, 2016. 9(2): p. 025501. [3] Miyake, H., et al., Preparation of high-quality AlN on sapphire by high-temperature face-to-face annealing. Journal of Crystal Growth, 2016. 456: p. 155-159. [4] Nemoz, M., et al., Dislocation densities reduction in MBE-grown AlN thin films by high-temperature annealing. Journal of Crystal Growth, 2017. 461: p. 10-15.

A.2.8
18:00
Authors : S. Q. Li1, H. Lei2, Y. Wang3, M. B. Ullah4, H. Morkoç4, P. A. van Aken3, J. Chen1 and P. Ruterana1
Affiliations : 1CIMAP, UMR 6252 CNRS, ENSICAEN, UCBN, CEA, 6 Boulevard du Maréchal Juin, 14050 Caen Cedex, France 2Institute of Solid State Physics, Hefei Institutes of Physical Science, CAS, 350 Shushanhu Road, Hefei 230031, China 3Max Planck Institute for Solid State Research, Heisenbergstrasse 1, 70569 Stuttgart, Germany 4Department of Electrical and Computer Engineering, Virginia Commonwealth University, Richmond, Virginia 23284, USA

Resume : Like many compound semiconductors, GaN and ZnO are most stable in the polar wurtzite structure and the polarity critically governs physical properties with an impact on electronic or optoelectronic devices through the presence of pyroelectric and piezoelectric fields at the active heterostructure interfaces [1]. Indeed, when domains with defined sizes and polarities will be controllably grown, important perspectives for device concepts based on polarity engineering will open, for instance realization of two-dimensional electron gases at heteropolar interfaces [2, 3]. Conventionally, the change in polarity has been reported to include the formation of an intermediate thin layer of a centrosymmetric phase, so that the polarity of underlying template is lost [4, 5]. In this perspective, the ZnO/GaN heterostructure is most interesting, as was demonstrated earlier, the polarity may be controlled through the growth of a 4 nm ultrathin Ga2O3 layer on GaN [4]. Most recently, we reported that the polarity of ZnO/GaN can be changed within only one monolayer by plasma assisted molecular beam epitaxy on Ga polar (0001) GaN/sapphire templates [6]. In this work we have carried out a detailed structural and atomistic modelling study of the corresponding (0001) inversion interfaces, we shall report on their atomic structure and energetic stability. High annular dark field and bright field STEM results show that the boundary is located at a metallic atom-plane with the chemical mixtures most probably to occur within the subsequent group-V elements where the reversed polarity initiates 1. Effects of macroscopic polarization in III-V nitride multiple quantum wells, V. Fiorentini, F. Bernardini, F. D. Sala, A. D. Carlo, and P. Lugli, Phys. Rev. B60, 8849(1999) 2. A. Aleksov, R. Collazo, S. Mita, R. Schlesser, and Z. Sitar, Current-voltage characteristics of n/n lateral polarity junctions in GaN, Appl. Phys. Lett. 89, 052117 (2006). 3. M. Toporkov, V. Avrutin, S. Okur, N. Izyumskaya, D. Demchenko, J. Volk, D. J. Smith, H. Morkoç, and Ü. Özgür, J. Cryst. Growth 402, 60 (2014). 4. S.-K. Hong, T. Hanada, H.-J. Ko, Y. Chen, T. Yao, D. Imai, K. Araki, M. Shinohara, K. Saitoh, and M. Terauchi, Phys. Rev. B 65, 115331 (2002). 5. Polarity Control in Group-III Nitrides beyond Pragmatism, S. Mohn, N. Stolyarchuk, T. Markurt, R. Kirste, M. P. Hoffmann, R. Collazo, A. Courville, R. D. Felice, Z. Sitar, P. Vennéguès, and M. Albrecht, Phys. Rev. B Applied 5, 054004(2016) 6. Polarity Control and Residual Strain in ZnO Epilayers Grown by Molecular Beam Epitaxy on (0001)-GaN/Sapphire, M. B. Ullah, V. Avrutin, S. Q. Li, S. Das, M. Monavarian, M. Toporkov , Ü. Özgür, P. Ruterana, H. Morkoç, Phys. Status Solidi RRL 10, 682(2016)

A.2.9
18:00
Authors : Mariia Anikeeva1, Tobias Schulz1, Tadeusz Suski2, Marcin Siekacz2,3, Thorsten Ernst3, Ewa Grzanka2,3, Grzegorz Staszczak2,3, Czesław Skierbiszewski2,3, and Martin Albrecht1
Affiliations : 1Leibniz-Institute for Crystal Growth, Berlin, Germany; 2Institute of High Pressure Physics, Polish Academy of Sciences, Warsaw, Poland; 3TopGaN Ltd, Warsaw, Poland;

Resume : (In,Ga)N/GaN short-period superlattices (SPSLs) with InGaN-layers of 1 monolayer (ML) represent an alternative way for band gap tuning and avoiding the quantum confined Stark effect. However, the growth of high quality InGaN alloys is challenging due the high lattice mismatch between InN and GaN and difference in the bond strength that supposedly leads to a limitation of the In content in strained epitaxial layers. By TEM investigations of SPSLs, grown by MBE, we evidence that the In content is self-limited to ~ 30% independently of growth temperature, growth time and III/V ratio. One solution for a further decrease of the bandgap is by using of the relaxed InGaN substrates, such as InxGa1-xN with x≈20%. We investigate SPSL with nominally InN MLs and 10 nm GaN barriers and partially relaxed In0.20Ga0.80N buffers. PL measurements show a red-shift of the SPSL spectrum from 380 nm to 420 nm (SPSL with buffer). HRTEM analysis reveals that In content is the same (~ 20%) in structures grown on the GaN and InGaN buffers. But the a-lattice constant increases on the InxGa1-xN pseudo-substrates as was checked by X-Ray Diffraction. This confirms theoretical considerations that the strain plays a marginal role for higher In incorporation, but the weakness of the In-N bond in comparison to the Ga-N. We explain the emission peak move to longer wavelength by a change of the band offsets between the GaN barrier and the InxGa1-xN quantum well with a corresponding change in the strain state.

A.2.10
18:00
Authors : D.S. Milakhin, T.V. Malin, V.G. Mansurov, Y.G. Galitsyn, K.S. Zhuravlev
Affiliations : A.V.Rzhanov Institute of Semiconductor Physics of the Siberian Branch of the Russian Academy of Science, pr. Lavrentieva 13, Novosibirsk 630090, Russia

Resume : Nitridation process is the fundamental stage in formation of III-nitrides on sapphire substrate. This process represents exposition of the heated substrate to a flux of ammonia, followed by the formation of crystalline AlN. When the temperature of substrate reaches 1150 °C reconstruction transition (1x1) - (sqrt(31) x sqrt(31)) R9 occurs. We discovered that the continuous exposure by the high-energy electrons (11 keV) leads to the reverse reconstruction transitions (sqrt(31) x sqrt(31)) R9 - (1x1). Moreover, the nitridation of (1x1) surface is accelerated in a few times under the influence of e-beam. Successful nitridation of the (sqrt(31) x sqrt(31)) R9 surface occurs exclusively under e-beam exposure. It was concluded that the e-beam stimulates desorption of oxygen accelerating thereby the nitridation process of sapphire substrate. The correct time of sapphire nitridation without the influence of the electrons was determined. As a result the structural quality of AlN layers was significantly improved, the density of inversion domains and dislocations were decreased in AlN layers. The reported study was funded by RFBR and Government of the Novosibirsk region according to the research project № 17-42-543091 and by RFBR № 17-32-80019.

A.2.11
18:00
Authors : R. Mantach1,2, F. Tendille1, M. Khoury1,2, P. De Mierry1, J. Zuniga-Perez1, G. Feuillet2, D. Martin3, N. Grandjean3, P. Vennéguès1
Affiliations : 1 Université Côte d’Azur, CRHEA-CNRS, rue B. Grégory, F-06560 Valbonne, France; 2 Université Grenoble Alpes, CEA, LETI, MINATEC Campus, F-38054 Grenoble, France; 3 Institute of Physics, EPFL, CH-1015 Lausanne, Switzerland

Resume : The interest in growing polarization-free III-nitride semiconductor heterostructures has motivated intense research efforts in the past few years. One approach to drastically reduce internal polarization effects is to make use of semipolar orientations. However, semipolar bulk substrates are still of small sizes and high prices whereas heteroepitaxially-grown layers suffer from the presence of numerous crystalline defects. To further study the potential interests and to develop the applications of semipolar orientations, there is a need of high quality, large size, and affordable templates. Different techniques have been developed to decrease the defect densities of heteroepitaxial thin films. The most effective one is the growth on patterned substrates. In this presentation, we will describe several growth strategies based on the use of patterned substrates, either sapphire or silicon, which allow to drastically reducing the defect densities. We will focus on the microstructure of the layers and on the mechanisms of defect density reduction. Beside the already widespread 3 step-growth method for (11-22) GaN on r-sapphire, we will investigate two growth approaches : a method based on a “SiN” treatment of uncoalesced nucleation islands, which has been developed for (10-11) GaN on (001) 7° off-axis Si and another method using deeply-grooved r-sapphire substrates, which allows obtaining more than 100µm-wide (11-22) GaN bands with record dislocation density in the mid 106 cm-2.

A.2.12
18:00
Authors : M-P. Chauvat1, A. Minj1, P. Gamarra2, C. Lacam2, S. Delage2, J. ul Hassan3, Ö. Danielsson3 and P. Ruterana1
Affiliations : 1 Centre de Recherche sur les Ions, les Matériaux et la Photonique UMR 6252, CNRS ENSICAEN UCBN CEA, 6 Boulevard du Maréchal Juin, 14050 Caen Cedex, France 2 III-V Lab, 1 Avenue Augustin Fresnel, Campus Polytechnique, 91767 Palaiseau, France 3 Dept. of Physics, Chemistry and Biology, Linköping University, 581 83 Linköping, Sweden

Resume : Due to its intrinsic properties such as thermal conductivity, silicon carbide (SiC) is one of the most adequate substrates for high power, high frequency GaN based HEMTs. For best performances, large wafers, up to and more than 6” of high crystalline quality are necessary. The synthesis of such substrates is a high temperature process which needs to be tightly controlled. SiC exhibits numerous polytypes, with a dominance of those 4H and 6H, which are of wurtzite structure and are the most stable at high temperatures. However, it also exists in the cubic structure (3C) and the formation energies of the stacking faults are very low. For both SiC power devices and GaN based HEMT applications, the desired polytypes are 4H and in some cases 6H SiC. To avoid inclusions of the cubic polytype, the solution for SiC based power devices is to have epitaxial growth made on substrates with surface miscut, in order to replicate the polytype correctly. However, for GaN based HEMTs, the epitaxial growth must be done on so-called on-axis surface (0001) orientations, i.e. without miscut. In this work, high quality 4H SiC wafers have been synthetized as templates for AlGaInN/GaN HEMTs by growing a thick high quality 4H SiC epitaxial layer on top of a lower grade SiC substrate. This investigation shows that the residual defects are, in addition of low densities of nanopipes, small inclusions of 3C that contain stacking faults and twins. These defects locally disrupt the subsequent growth of the HEMT heterostructure starting from the buffer layers. We report a detailed analysis of the local epitaxial relationships and defect structures and show that in optimized growth, the formation of the cubic phases can be brought to very low densities and probably eliminated through the formation of efficient boundaries.

A.2.13
18:00
Authors : A. Trapalis (1), J. Heffernan (1), I. Farrer (1), A. Kean (2,3), J. Sharman (2)
Affiliations : 1 Department of Electronic and Electrical Engineering, University of Sheffield, Mappin Street, Sheffield S1 3JD, United Kingdom 2 Johnson Matthey, Blount's Court, RG4 9NH, United Kingdom 3 NikaWorks Ltd, United Kingdom

Resume : We report the photoluminescence properties of polycrystalline zinc nitride thin films in the temperature range of 3.7-300 K. Optical reflectance and transmittance measurements revealed an optical band gap in the near-infrared region. Room temperature photoluminescence showed that samples grown on an unheated substrate exhibited a relatively broad band around 1.00 eV which was attributed to deep-level defects. Samples grown on a heated substrate exhibited a broad band at 0.90 eV as well as a narrower band at 1.38 eV which was attributed to the recombination of free carriers with a bound state. The temperature dependence of the band gap of zinc nitride was shown to follow the Varshni equation by studying the high-energy band at low temperatures. At temperatures lower than 150 K, a separate defect band was observed below the high-energy band. Differences in the thermal quenching of the two bands suggested they originate from different recombination mechanisms. Furthermore, a red-shift of the observed features with excitation power suggested the presence of oxygen-doped regions with a wider band gap within the samples.

A.2.14
18:00
Authors : V. Z. Zubialevich 1,*, P. Pampili 1,2, P. J. Parbrook 1,2
Affiliations : 1 Tyndall National Institute, Dyke Parade, Cork City, Ireland; * E-mail: vitaly.zubialevich@tyndall.ie; 2 School of Engineering, University College Cork, Cork City, Ireland

Resume : III-nitrides with high aluminium contents are a natural choice for deep UV light emitters. Being grown by MOVPE on foreign substrates they are plagued with high densities of dislocations that negatively impact the device performance and reliability. This issue can be overcome with bulk PVT grown AlN substrates. PVT-AlN suffers, however, from unavoidable impurity incorporation leading to a limited transparency in UV. Since AlGaN-based LEDs, due to difficulties in AlGaN p-doping, typically contain p-GaN as a contacting layer, the light extraction has to be through the potentially opaque substrate. A possible solution to this situation is growth of a relatively thick AlN layer on top of the PVT-AlN before the actual device heterostructure. In this case PVT-AlN can be removed from backside until the new nominally transparent AlN is reached. A high MOVPE growth rate is desired for this purpose. In the current work, the conditions required for high growth rate AlN in a 3×2’’ showerhead type vertical flow MOVPE reactor were studied. It was found that at our standard conditions (low V/III, 50 mbar, 1110°C, H2), growth rate linearly increases with the TMAl flow rate until about 150 µmol/min with some drop of precursor utilisation efficiency at higher flow rates. While the pre-reaction of TMAl with NH3 at 150 µmol/min of TMAl is still not a major issue, it is not possible, however, to maintain a smooth AlN surface morphology during this “fast” growth. To suppress this surface deterioration, the growth pressure required optimisation. Its increase to 75 mbar was found to be critical to grow 20+ µm of smooth AlN at a rate of about 3.6 µm/h on bulk AlN substrates.

A.2.15
18:00
Authors : Geoffrey Avit 1, Elissa Roche 1, Mohammed Zeghouane 1, Yamina André 1, Catherine Bougerol 2,3, Joël Leymarie 1, François Médard 1, Benjamin Damilano 4, Evelyne Gil 1, Dominique Castelluci 1 and Agnès Trassoudaine 1
Affiliations : 1Université Clermont Auvergne, CNRS, SIGMA Clermont, Institut Pascal, F-63000 Clermont-Ferrand, France 2Univ. Grenoble Alpes, F-38000 Grenoble, France 3CNRS, Institut Néel, F-38042 Grenoble, France 4CRHEA, CNRS, Rue Bernard Gregory, F-06560 Valbonne, France

Resume : The growth of defect-free InGaN nanowires on silicon across the entire compositional range has recently been achieved by Hydride Vapor Phase Epitaxy (HVPE). The remaining technological challenges concern the achievement of high efficient optical devices. In this work, we focus on the HVPE synthesis and properties of defect-free InGaN nanowires whatever the indium composition using GaCl and InCl3 as III-element precursors. The structural and optical properties of the InGaN nanowires are addressed by Transmission Electron Microscopy (TEM) and Photoluminescence (PL) investigations. Local Energy Dispersive X-rays spectroscopy (EDX) measurements reveal an homogenous In incorporation along the entire length of a single wire. Particularly, indium-rich InGaN nanowires exhibiting a pure wurtzite phase along their entire length are obtained. PL measurements performed on nanowire assemblies showed an exceptional ratio of 30 % between the integrated intensity at 300 K and 20 K for the spectral emission at 580 nm. These results constitute the state-of-the-art of the crystalline phase for such a high indium composition. This appears very promising for the integration of high-indium nanowires in optical components.

A.2.16
18:00
Authors : Alan Jacobs(1), Boris N. Feigelson(2), Jennifer K. Hite(2), Francis J. Kub(2)
Affiliations : (1)ASEE Postdoctoral Fellow at U.S. Naval Research Laboratory (2)U.S. Naval Research Laboratory, 4555 Overlook Ave., SW, Washington, DC 20375, USA

Resume : Here we report the study of GaN stability under traditional annealing at atmospheric and moderate nitrogen overpressures of up to 5 MPa. This work elucidates the moderate pressure dependence of GaN stability, necessary for damage control during annealing and dopant activation processes utilized for modern devices. Moderate nitrogen overpressures have been utilized to show enhanced stability and activation of dopants[1], however, this enhanced stability at moderate pressures remains largely uncharacterized. Estimates from literature [2-3] suggest an 850 °C stability at atmospheric pressure, which increases to in excess of 1000 °C at 5 MPa. Protecting the GaN via capping further improves these decomposition temperatures. Here, this stability is studied on GaN grown by MOCVD on sapphire using both bare and capped samples. Specifically, commonly utilized capping chemistries are explored after deposition by sputtering or PECVD. This pressure dependent stability is studied at traditional (hour) timescales with GaN decomposition and damage quantified by Nomarski microscopy, Raman scattering, photoluminescence, and AFM. [1] Feigelson et al. J. Crys. Growth 350, 1 (2012): 21–26. 10.1016/j.jcrysgro.2011.12.016. [2] Porowski et al. J. Phys.: Cond. Matter 14, 44 (2002): 11097–11110. 10.1088/0953-8984/14/44/433. [3] Unland et al. J. Crys. Growth 256, 1–2 (2003): 33–51. 10.1016/s0022-0248(03)01352-6.

A.2.17
18:00
Authors : Takao Oto 1, Yutaro Mizuno 1, Jun Yoshida 1, Ai Yanagihara 1, Rin Miyagawa 1, Kazuhiro Ema 1,2, and Katsumi Kishino 1,2
Affiliations : 1. Department of Engineering and Applied Sciences, Sophia University, Japan; 2. Sophia nanotechnology Research Center, Sophia University, Japan

Resume : Nanocolumns (NCs) have attracted great attention due to their low dislocation density, high light extraction, and small strain energy. We have grown thick In0.3Ga0.7N NCs on GaN NCs changing the GaN NC diameter D [1, 2]. For D≤D0 (D0=120–130 nm), a homogeneous InGaN NC was axially grown on the underlying GaN NCs and a single-peak emission was observed, but enhancing the surface recombination for a small D. For D>D0, a double-peak emission was observed, and a core-shell structure with an In-rich InGaN core suppressed the surface recombination sufficiently. In this study, we investigated structural and optical properties in InGaN/GaN single quantum wells (SQWs) on GaN NCs as a function of D. With increasing D, the PL spectra changed from single- to double-peak emissions at D=62–76 nm; therefore the same phenomenon as the thick InGaN NCs occurred, but the specific diameter was different. To investigate this origin, we fabricated InGaN with different growth times on GaN NCs with D=230 nm. From STEM, at the initial growth, In adatoms diffuse to the apex of the NC, forming an In-rich InGaN quantum dot surrounding by a Ga-rich InGaN region. The growth process continuously forms the In-rich InGaN core. Once the core diameter reaches D0, the core is not grown laterally. The growth process affects the InGaN layer structure and the PL characteristics. The optical properties will also be discussed. [1] T. Oto et al., AIP Adv. 6, 115214 (2016). [2] T. Oto et al., IWN 2016, E0.4.05.

A.2.18
18:00
Authors : Kengo Takamiya, Shuhei Yagi, Hiroyuki Yaguchi, Hidefumi Akiyama, Kanako Shojiki, Tomoyuki Tanikawa,and Ryuji Katayama,
Affiliations : Saitama University; University of Tokyo; Tohoku University; Osaka University

Resume : We report the observation of biexciton emission from single quantum-confined structures in N-polar InGaN/GaN multiple quantum wells (MQWs). Entangled photon pairs are expected to play a key role in the quantum information processing. Among several candidates for generating entangled photon pairs, the cascade decay of biexcitons in semiconductor nanostructures has been proposed as a source of polarization-entangled photon pairs. Since the bandgap of InGaN covers the energy range of 0.7-3.4 eV, InGaN-based nanostructures have the potential of emitting the light in the wide spectral range from IR to UV by changing the In composition. The growth of N-polar InGaN particularly has an advantage for obtaining longer wavelength luminescence because of higher In incorporation efficiency for N-polar than for Ga-polar. The samples used in this study were N-polar (000-1) InGaN/GaN MQWs grown on c-plane sapphire with a small off-cut angle toward the a-plane by metalorganic vapor phase epitaxy. We measured micro-photoluminescence (µ-PL) spectra at 4.2 K using a 405-nm laser diode as the excitation source. The spatial and energy resolutions of the µ-PL measurement system used were ~1 µm and ~30 µeV, respectively. We observed sharp PL peaks from InGaN/GaN MQWs every several µm at different positions of the samples using µ-PL mapping, clearly indicating that the sharp peaks originate from individual localized states. For example, two sharp PL peaks (FWHM ~200 µeV) were observed at 2.3132 eV (XX) and 2.3140 eV (X) at one position. The intensity of X increased linearly with increasing laser power while that of XX clearly shows a quadratic dependence on the laser power. This shows that XX is due to a radiative transition from a biexciton state to an exciton state.

A.2.19
18:00
Authors : H. Turski, A. Feduniewicz-Żmuda, D. Jena, C. Skierbiszewski
Affiliations : Department of Electrical and Computer Engineering, Cornell University, Ithaca, NY 14853 USA, Institute of High Pressure Physics, Polish Academy of Sciences, Sokolowska 29/37, 01-142 Warsaw, Poland; Institute of High Pressure Physics, Polish Academy of Sciences, Sokolowska 29/37, 01-142 Warsaw, Poland; Department of Electrical and Computer Engineering, Cornell University, Ithaca, NY 14853 USA, Department of Material Science and Engineering, Cornell University, Ithaca, NY 14853, USA; Institute of High Pressure Physics, Polish Academy of Sciences, Sokolowska 29/37, 01-142 Warsaw, Poland

Resume : Due to high diffusion barriers for nitrogen adatoms on the bare surface of GaN, metal-rich conditions are usually employed to obtain smooth GaN surfaces in plasma-assisted molecular beam epitaxy (PAMBE). We demonstrate for the first time that it is possible to achieve atomically flat GaN surfaces in nitrogen-rich growth conditions by PAMBE. Epitaxial layers were grown on nitrogen-polar (000-1) high quality ammono-GaN substrates at growth temperatures typically used for GaN. To change the growth mode from 3D growth (commonly observed for PAMBE in nitrogen-rich) to layer-by-layer growth, a nitrogen flux of 1 um/h and substrate offcut angle of 4 deg were used. The same growth conditions and offcut angle for the growth on metal-polar (0001) GaN resulted in a rough surface. Such behavior can be attributed to lower diffusion barriers for gallium and nitrogen adatoms on (000-1) than on (0001) bare surfaces. For lower miscut angle substrates, meandering atomic steps were observed. The wavelength of the observed meanders decreases with decreasing growth rate and decrease with increasing offcut angle. This is in contradiction to previously reported meandering models. The role of the Ehrlich-Schwoebel and diffusion barriers and their impact on experimentally observed surface morphologies will be discussed. Building on the above findings, Nitrogen-rich growth conditions on (000-1) were employed to the growth of InGaN quantum wells as well as Si and Mg doped GaN layers.

A.2.20
18:00
Authors : Zhaoying Chen1, Tao Wang1, Ping Wang1, Xiantong Zheng1, Tobias Schulz2, Martin Albrecht2, Bo Shen1, Xinqiang Wang1
Affiliations : 1State Key Laboratory of Artificial Microstructure and Mesoscopic Physics, School of Physics, Peking University, Beijing, 100871, China. 2Leibniz-Institute for Crystal Growth, Berlin, Germany

Resume : InN and related alloys hold the promise to serve as advanced optoelectronic materials due to their wide wavelength tunability, good electrical properties, excellent thermal stability and radiation stability. However, devices based on high indium composition alloys are hard to realize yet due to the big discrepancy of lattice constant and bond energy between InN and GaN. The large immiscibility causes serious inhomogeneity and disorder in InGaN alloys, resulting in poor crystalline quality. The InGaN digital alloys (i.e. InN/GaN short-period superlattices) was proposed to be a substitute of disordered InGaN alloys [1], which arranges InN monolayer and GaN monolayer proportional in each period. Moreover, the In(Ga)N monolayer embedded in GaN matrix can also be used as single photon emitter which was proposed to realize room temperature single photon source [2]. In this work, we focused on the fundamental growth mechanism of In(Ga)N monolayer embedded in GaN matrix grown by molecular beam epitaxy. The InN layer was grown under nitrogen-rich condition at 590°C. To get a sharp interface between InN monolayer and surrounding GaN, an ultrathin GaN interlayer under nitrogen-rich condition was deposited after the InN monolayer, which avoids atomic intermixing. And an evaporation process was introduced after the nitrogen-rich GaN interlayer to remove the excess indium adatoms. The In(Ga)N monolayer exhibits atomically sharp interfaces to the surrounding GaN and a strong photoluminescence peak around 415 nm, though it was supposed to be an ordered InGaN monolayer rather than an InN monolayer. In addition, we also suggested a growth method with extremely low indium flux, which was comparable to the evaporation rate of indium metal, to realize an InN monolayer or ordered InGaN monolayer without evaporation process. The fundamental mechanism was studied in details based on the in-situ RHEED monitoring. [1] Kazuhide Kusakabe et. al., Applied Physics Letters 108, 152107 (2016). [2] M. J. Holmes et al., Nano Letters 14, 982 (2014).

A.2.21
18:00
Authors : Junya Hakamata1, Yuta Kawase1, Sho Iwayama1, Motoaki Iwaya1, Tetsuya Takeuchi1, Satoshi Kamiyama1, Isamu Akasaki1,2, Hideto Miyake3
Affiliations : 1,Faculty of Science and Technology, Meijo University, Japan;2,Akasaki Research Center, Nagoya University, Japan;3,Graduate School of Regional Innovation Studies, Mie University, Japan

Resume : Realization of high quality and low-cost AlGaN templates is essential for the widespread use of UV devices. If high quality AlGaN templates are fabricated on annealed sputtering AlN layer, it is an optimal template because the sputtering and annealing methods are excellent methods for high mass production. In present study, we investigated the fabrication and characterization of AlGaN template on annealed sputtering AlN layer. The annealed sputtering 200-nm-thick AlN templates were grown on sapphire. Annealing temperature and time of AlN were 1700 °C and 3 h, respectively. After annealing, typically XRC FWHMs of tilt (0002) and twist (10-12) distributions and RMS roughness by AFM (5×5 ?m2) in annealed AlN template were 37 arcsec, 230 arcsec, and 0.82 nm, respectively. We grew a Si doped 1-?m-thick Al0.70Ga0.30N film on this AlN underlying layer by the MOVPE. The growth temperature and pressure were 1150 °C and 30 torr, respectively. The surface of the crystal-grown AlGaN was almost smooth. Moreover, XRC FWHMs of tilt (0002) and twist (10-12) distributions and RMS by AFM in this AlGaN were 168 arcsec, 250 arcsec, and 0.70 nm, respectively. Although the tilt distribution slightly increased, it was confirmed that the twist distribution and RMS were almost the same. Also, the dark spot density of this AlGaN characterized by CL was 1.2 × 10^9 cm^-2. As described above, annealed sputtering AlN layers are useful for realizing a high quality AlGaN template.

A.2.22
18:00
Authors : Nikhilendu Tiwary, Ritam Sarkar, Kankat Ghosh, V. Ramgopal Rao and Apurba Laha.
Affiliations : Department of Electrical Engineering, Indian Institute of Technology Bombay, Mumbai, India

Resume : – Polarity imaging of GaN nanowires has been gaining attention these days by exploiting powerful atomic force microscopy techniques [1]. It has been widely established that different polarity of nanowires affects their electronic, optical and related properties [2-3]. However, polarity of nanowires soon after the nucleation on different substrates grown by plasma assisted molecular beam epitaxy (PA-MBE) technique at different growth conditions is not yet understood. In this work, we have employed the Piezoresponse Force Microscopy (PFM) and Scanning Kelvin Probe Microscopy (SKPM) to systematically study and understand the polarity of GaN nanowires and their nuclei grown on various substrates such as Si(111), 6H-SiC and Sapphire by PA-MBE. Further, the effect of growth temperatures on the nucleation behavior has been investigated in detail. We observe that initially, nanowires’ nuclei exhibit mixed (Ga/N) polarity. However, they eventually tends to become N polar when grown up fully. Standard characterization tools such as Reflection High Energy Electron Diffraction (RHEED), high resolution x-ray diffraction (HRXRD) and Scanning Electron Microscopy (SEM) have been employed to study the detail physical properties. We strongly believe that present study will provide comprehensive understanding on growth and properties of GaN nanowires and their nuclei grown on various substrates and at different process parameters such as temperature, pressure, III-V ratio, etc. References: [1] A. Minj et al., “Assesment of Polarity in GaN Self-Assembled Nanowires by Electrical Force Microscopy”, Nanoletters, vol. 15, pp. 6770-6776, 2015. [2] J. Z. Perez et al., “Polarity in GaN and ZnO: Theory, measurement, growth and devices”, Applied Physics Reviews, vol. 3, pp. 041303. 2016. [3] N. Gogneau et al., “Impact of the GaN nanowire polarity on energy harvesting”, Applied Physics Letters, vol. 104, pp. 213105, 2014.

A.2.23
18:00
Authors : I. V. Osinnykh, T. V. Malin, P. A. Bokhan, Dm. E. Zakrevsky, N.V. Fateev, K. S. Zhuravlev
Affiliations : Rzhanov Institute of Semiconductor Physics, Siberian Branch of Russian Academy of Sciences

Resume : We report photoluminescence (PL) investigations of heavily doped AlGaN:Si films grown by ammonia MBE on sapphire substrates. The wide intensive defect-related band dominates in the PL spectra of AlGaN:Si films with the Al content higher than 0.4 covering the whole visible spectral range. The increase of Al content from 0.5 to 1 leads to the blue shift of the energy position of PL band from the red-orange spectral range to the violet spectral range. This band is attributed to the donor-acceptor and free electron-acceptor transitions involving the same acceptor. The acceptor ionization energy of about 1.87 eV for heavily doped AlN:Si was obtained, the decrease of Al content leads to the decrease of acceptor ionization energy. The donor was assigned to Si atom on Ga/Al site; the acceptor might be Al/Ga vacancy. The highest luminescence intensity in green spectral range was demonstrated by AlGaN:Si films with the aluminum mole fraction x of about 0.67 and the Si atom concentration about 2E20 cm-3. Stimulated luminescence of AlGaN:Si films was studied. To determinate the gain of active medium pumping radiation was focused on the surface of AlGaN samples into a uniform strip with width of 370 μm and variable length in the range of 0…1 cm. The values of gain of AlGaN:Si films in the blue-green region of spectrum was estimated about 20 cm-1 and 70 cm-1 for the films with x=0.78 and x=0.5, respectively.

A.2.24
18:00
Authors : S. Besendörfer1, E. Meissner2, S. Müller3, S. Breuer3, J. Friedrich2, L. Frey1
Affiliations : 1Chair of Electron Devices, Friedrich-Alexander-University of Erlangen-Nuremberg, Cauerstr. 6, 91058 Erlangen, Germany; 2Fraunhofer Institute for Integrated Systems and Device Technology (IISB), Schottkystr. 10, 91058 Erlangen, Germany; 3Fraunhofer Institute for Applied Solid State Physics (IAF), Tullastr. 72, 79108 Freiburg, Germany

Resume : In this work we present extremely locally correlated Atomic Force Microscopy (AFM) techniques and Cathodoluminescence (CL)-measurements on GaN-on-Si in order to investigate the relationship between defects like threading dislocations (TDs), their type, their behavior as leakage current paths and non-radiating recombination centers and in the end their influence on power devices like AlGaN/GaN HEMTs. We found clear evidence for TDs with screw component being highly conductive in contrast to TEDs seen as electrically inactive. It was also verified that AFM is a tool to not only gain information about surface topography but also about the dislocation structure underneath, i.e. in the device relevant layer of the two dimensional electon gas (2DEG) serving there as carrier scattering centers. From the investigations shown in this contribution it is obvious that the directly measured electrical properties of structural defects can be different and so their effect on the performance of a device. This systematic study also helps to understand degradation mechanisms in GaN-based devices in a physical, pure material based approach and will support the optimization of epitaxial growth, buffer structure and device design in future.

A.2.25
18:00
Authors : Samantha G Rosenberg 1, Dan Pennachio 2, Virginia Anderson 1, Neeraj Nepal 3, Christa Wagenbach 4, Alexander C. Kozen 1, Zachary Robinson 5, John A. Logan 2, Sukgeun Choi 2, Jennifer Hite 3, Karl Ludwig 4, Chris J. Palmstrøm 2, Charles R. Eddy, Jr. 3
Affiliations : ASEE, Washington DC (residing at NRL) 1; University California, Santa Barbara, Santa Barbara, CA 2; U.S. Naval Research Laboratory, Washington DC 3; Boston University, Physics Department, Boston, MA 4; SUNY Brockport, Brockport, NY 5

Resume : III-N semiconductors are well suited for applications in several important technological areas, including high current, normally-off power switches.1-3 Such devices require heterostructures not readily achievable by conventional growth methods. While atomic layer deposition (ALD) is a versatile technique and has gained wide use, it does not offer the required level of crystallinity and purity for high-performance III-N semiconductor devices. Therefore, we have developed a technique adapted from ALD, called plasma-assisted atomic layer epitaxy (ALEp).2 Here we employ in-situ and in-vacuo surface studies of GaN substrate preparation and InN ALEp growth to advance fundamental understanding of the ALEp process. We conduct in-situ grazing incidence small angle x-ray scattering (GISAXS) experiments at the Cornell High Energy Synchrotron Source, utilizing morphological evolution monitoring to investigate the growth interface during sample preparation and film deposition. GISAXS information is complemented with in-vacuo x-ray photoelectron spectroscopy and reflection high-energy electron diffraction studies conducted at the Palmstrøm Lab at UCSB, where we consider traditional molecular beam gallium flash-off and atomic hydrogen etching as ways to produce the most suitable GaN surface for our ALEp-based approach. 1. N. Nepal, et al., Appl. Phys. Lett. 103, 082110 (2013) 2. C. R. Eddy, Jr, et al., J. Vac. Sci. Technol. A 31(5), 058501 (2013). 3. R. S. Pengelly, et al., IEEE Trans. Microwave Theory Tech. 60, 1764 (2012).

A.2.26
18:00
Authors : A.Kapoor [1,2], C. Durand [1,2], M. Vallo [1,2], A. Messanvi [1,2,3], N. Guan [3], X. Dai [3], H. Zhang [3], E. Gautier [1,4], C. Bougerol [1,5], F. H. Julien [3], M. Tchernycheva [3] and J. Eymery [1,2]
Affiliations : 1 - University Grenoble Alpes, 38000 Grenoble, France; 2 - « Nanophysique et Semiconducteurs » group, INAC-PHELIQS, CEA, 17 rue des Martyrs, 38000 Grenoble, France; 3 -Center of Nanoscience and Nanotechnologies (C2N), UMR 9001 CNRS, University Paris-Sud, Univ. Paris-Saclay, France; 4 - INAC-SPINTEC, CEA, 17 rue des Martyrs, 38000 Grenoble, France; 5 - « Nanophysique et Semiconducteurs » group, CNRS, Institut Néel, 25 rue des Martyrs, 38000 Grenoble, France

Resume : A lot of attention has been focused on the ±c-axis GaN nanowires encircled by core-shell InGaN/GaN multiple quantum wells (MQWs) for the fabrication of efficient light emitting diodes (LEDs). The green emission still remains a challenge for this core-shell geometry due to the usual lower In incorporation in m-plane wire sidewalls with respect to c-plane. In this work, a green emission of such MQWs system is achieved along with the demonstration of a flexible LED [1]. A complete investigation combining structural and optical analysis is proposed to address the open issues for green emission. Self-assembled catalyst-free microwires have been grown by metalorganic vapor phase epitaxy with silane addition on sapphire in situ capped with SiNx mask. This was followed by core-shell MQW growth [2] performed with decreasing QW growth temperature (750-620°C) to tune the In-content. The core-shell morphology is preserved even for the lowest temperature. An emission is observed in the green region (500-550 nm) by photo- and cathodoluminescence only for the wires with QWs grown at 650°C. This optimized temperature corresponds to a balance between In-content and defect formation, as revealed by transmission electron microscopy. The effect of inter-related parameters like thickness, composition and strain state on the green emission will be further discussed to have a better understanding. [1] X. Dai, et al., Nano Lett. 15, 6958(2015) [2] R. Koester, et al., Nano Lett., vol. 11, 4839 (2011)

A.2.27
18:00
Authors : Masafumi Jo, Hideki Hirayama
Affiliations : RIKEN

Resume : Semipolar III-nitrides have attracted increasing attention because the reduced piezoelectric field can improve the performance of nitride optoelectronic devices. In particular, (11-22) plane is promising due to the small polarization field along the growth axis and the epitaxial matching on m-plane sapphire. For UV-C applications, it is necessary to obtain high-quality AlN templates on which AlGaN active layers are grown. However, AlN on m-plane sapphire have suffered from surface roughening as well as orientation mixing. Here we report the introduction of Ga during the growth of AlN with an aim to produce a smooth morphology. Semipolar AlN layers were grown on m-plane sapphire by metal-organic chemical vapor deposition. The AlN layer was grown in two steps. First, thin AlN of 10 nm thickness was grown on m-plane sapphire at 1200°C and V/III ratio of 10000. Then, 2-µm AlN was grown at 1300°C and a V/III-ratio of 25, with different TMGa flows from 0 to 40 sccm. XRD omega-2theta scans showed that almost single-phase (11-22) AlN was obtained for all samples, due to the high V/III-ratio in the first AlN growth. The (11-22) XRD reflection angle remained constant against the TMGa flow, which indicates that the incorporation of Ga was negligibly small at 1300°C. In contrast, the surface flatness of the AlN layer was improved with increasing the TMGa flow. The root-mean-square roughness for 5×5-µm AFM scans monotonically decreased from 7.9 nm (TMGa: 0 sccm) to 3.8 nm (30 sccm). Thus, the supply of TMGa facilitated the surface flattening of an AlN layer on m-plane sapphire.

A.2.28
18:00
Authors : Z. L. Liu(a), G. Q. Ren(a,b), X. J. Su(a), J. F. Wang(a,b), K. Xu(a,b)
Affiliations : (a) Suzhou Institute of Nano-tech and Nano-bionics, Chinese Academy of Sciences, Suzhou 215123, People’s Republic of China; (b) Suzhou Nanowin Science and Technology Co., Ltd., Suzhou 215123, People’s Republic of China

Resume : In order to improve the performance and reliability of the power devices, it is necessary to realize very low threading dislocation (TD) density GaN single crystal substrates. Therefore, further development of high quality and large scale GaN single crystal is needed. The Na flux method which is grown under the quasi-equilibrium conditions has many advantages to grow large bulk GaN single crystals. However, it is difficult to grow large-size GaN crystals with a moderate growth rate, which is limited by the nitrogen content in the solution and the transportation of the dissolved nitrogen. Moreover, the surface of GaN seed will be redissolved in the solution before the solution becomes saturated with nitrogen. This will cause the quality of the overgrowth GaN to reduce. To overcome such drawbacks, there are several attempts have being used. In our study, by comparing the effect of different carbon additive types, including carbon nanotubes, active carbon, graphene, graphite, and ordered mesoporous carbon, on the suppression of polycrystal generation and growth rate of LPE-GaN, it shows that the carbon sources with graphitic N in the majority can more effectively suppress the polycrystals and achieve a higher growth rate of LPE GaN single crystals. Moreover, nitrogen-doped carbon additives can further improve the growth rate of LPE GaN. While the GaN seed redissolution is effectively suppressed. The growth mechanism of GaN by Na flux method adding nitrogen-doped carbon additives has been studied. And the effect of complex additives on GaN crystal growth has been also discussed.

A.2.29
18:00
Authors : Ramon Cuscó (1) ,Guillaume Cassabois (2), Bernard Gil (2), and Luis Artús (1)
Affiliations : (1) Institut Jaume Almera ,Consejo Superior de Investigaciones Científicas (ICTJA-CSIC), 08028 Barcelona, Spain ; (2) Laboratoire Charles Coulomb (L2C), UMR 5221 CNRS-Université de Montpellier, F-34095, Montpellier,France

Resume : Hexagonal boron nitride (h-BN) exhibits a wide indirect bandgap of 5.955 eV, as recently demonstrated by phonon-assisted emission and absorption measurements [1]. h-BN has attracted an enormous interest as an alternative dielectric for electronic devices based on van der Waals heterostructures resulting from stacking grapheme and transition metal dichalcogenide layers, because its surface is atomically flat and it is free of trapped charges. In addition, the thermal conductivity in the basal plane of the h-BN crystal is two orders of magnitude higher than that for the conventional SiO2 dielectric, and can be beneficial for the thermal management of these novel devices. Despite the current interest in h-BN, phonon dynamics in this material has not been thoroughly investigated. The analysis of the temperature dependence of Raman spectra can provide valuable information about the phonon decay processes in crystals. We have carried out a systematic study of both Raman active modes over a temperature range from 80 to 600 K. Whereas the low-energy mode (E2glow) corresponds to a gliding motion of the rigid hexagonal layers and is thus governed by weak van del Waals interactions, the high-energy mode (E2ghigh) probes the strong in-plane interatomic interactions. The high structural anisotropy of h-BN is reflected in its thermal expansion coefficient, which is negative in the basal plane and positive along the c direction. This has a strong influence on the E2glow and E2ghigh Raman frequencies. The frequencies and linewidths of the E2g modes are analyzed on the basis of Cowley’s second-order perturbation theory. Density functional theory calculations of the phonon dispersion are carried out to identify the main phonon decay channels. On account of the lack of efficient decay channels, the E2glow mode is extremely narrow and exhibits weak anharmonic interactions (negligible broadening), its frequency downshift being mainly a consequence of thermal expansion. In contrast, the E2ghigh mode displays a substantial broadening that can be accounted for by a dominant 4-phonon decay process. Decay processes, however, are not sufficient to reverse the strong frequency upshift caused by the lattice thermal contraction and thus explain the observed E2ghigh downshift. Similarly to the case of the E2g mode of graphite [2], the contribution of the first-order 4-phonon scattering term is found to be dominant. This is related to the low-lying modes of the layered structure of h-BN and is confirmed by ab-initio estimations of the fourth derivative of the total energy with respect to the phonon coordinates. A good agreement is found by fitting the anharmonic model to the experimental data using the anharmonic coupling potentials as free parameters. References [1] G. Cassabois, P. Valvin, and B. Gil, Nat. Photonics 10, 262 (2016). [2] P. Giura, N. Bonini, G. Creff, J. B. Brubach, P. Roy, and M. Lazzeri, Phys. Rev B. 86, 121404(R) (2012).

A.2.30
18:00
Authors : Wei Huang1, Yu Liu1, Xiantong Zheng2, Yuan Li1, Qing Wu1, Xinqiang Wang2, Yonghai Chen1
Affiliations : 1. Key Laboratory of Semiconductor Materials Science, Institute of Semiconductors, CAS, Beijing, 100083, China 2.School of Physics, Peking University, Beijing, 100871, China

Resume : We present a simple, convenient and nondestructive reflection difference spectroscopy microscope (μ-RDS) to characterize microstructural defects on the surface of materials. The setup and principle of this microscope have been introduced in detailed, and we use this microscope to study the circular ring defects of In0.7Ga0.3N films grown on GaN as an example. At firstly, we obtain the normal reflectivity images and reflection difference (RD) images of different defects. Then we combine with the other testing methods to analyze the experimental data, which formally prove the reliability of the microscope. On the one hand, comparing with the results of AFM, we prove that our μ-RDS can use the reflectivity image to characterize the surface topography, size and location of the defect. On the other hand, we find the RD image generated by uniform height fluctuation is a standard four polar distribution in an established ideal circular ring defect model, and we also prove that the RD signals of these circular ring defects on In0.7Ga0.3N films mainly come from height fluctuation. It is concluded that the μ-RDS is a new, sensitive, effective and ideal tool for optical anisotropy distribution induced by small changes in the height depth around the defect boundary in plane.

A.2.31
18:00
Authors : Keigo Takahashi, Masayoshi Adachi, and Hiroyuki Fukuyama
Affiliations : Institute of Multidisciplinary Research for Advanced Materials, Tohoku University

Resume : AlN is expected as a substrate material for AlGaN-based DUV-LEDs. In our group, we reported the liquid phase epitaxial (LPE) method for AlN layer using Ga-Al flux [1-2]. However, the growth rate of AlN was low because Ga-Al flux has low nitrogen solubility. Moreover, growth temperature was limited because AlN polycrystals generated in the flux at higher temperature. In this study, we developed a novel vapor phase AlN growth technique using Ga-Al flux as Al source. In this technique, a substrate and Ga-Al flux were set under a temperature gradient. The Al vaporization was enhanced by nitrogen gas injection into Ga-Al flux. The Al vapor was transported to the substrate placed at higher temperature zone, then AlN formed on the substrate. Thus, nitrogen gas plays a role of career gas of Al vapor, and it also plays a role of reactive nitrogen source on the substrate. Temperature of substrate and flux were set at 1873 K and 1773 K, respectively. The Al content in the flux was 20 mol%. Nitrogen gas flow rate was 20 sccm. The chamber pressure was controlled at 0.1 atm during the growth experiment. Under these conditions, a 4-µm-thick AlN film successfully grew on the substrate. More details of the growth process and characterization of AlN film will be presented in the conference. References [1] M. Adachi et al., Phys. Stat. Sol. A, 208 (2011) 1494. [2] M. Adachi et al., Phys. Stat. Sol. B, 252 (2015) 743.

A.2.32
18:00
Authors : S. Metzner1, M. Müller1, M. Loos1, F. Bertram1, P. Veit1, L. Caccamo2, J. Hartmann2, H. Zhou2, H.-H. Wehmann2, A. Waag2, and J. Christen1
Affiliations : 1 Institute of Experimental Physics, Otto-von-Guericke-University Magdeburg, 39106 Magdeburg, Germany 2 Institut für Halbleitertechnik and Laboratory for Emerging Nanometrology, Technische Universität Braunschweig, 38092 Braunschweig, Germany

Resume : Nitride based core-shell microrods provide exceptional material properties like most prominently defect-free GaN cores due to bending of dislocations, strain relaxation inside the rods, and eliminated polarization fields at the sidefacets as a result of small footprints and high aspect ratios. Additionally, a preferential nucleation spot for quantum dots is suggested at the apex of the structure representing potential applications of microrods as future optoelectronic devices. The nominally 30 nm thick InGaN layers have been grown by MOVPE on GaN microrod arrays based on SiOx/GaN/sapphire templates. The enhanced vertical growth of the GaN out of the circular mask holes has been achieved by low V/III ratios and high SiH4 flow rates which leads to strongly n-doped GaN microrod cores. Finally, InGaN layers with varying [In] were grown wrapping the surface of the GaN microrods. By performing cathodoluminescence (CL) inside scanning (transmission) electron microscopes (STEM/SEM) we found direct evidence of a selective In incorporation on preferential spots of the microrod. In case of a nominally 10% InGaN shell, the most dominant CL emission appears from the m-plane sidefacets at 392 nm. Contrarily, the edges between the six m-planes emit slightly red-shifted around 440 nm and even longer wavelengths (481 nm) towards the semipolar topfacets. The strongest red-shift of CL is measured for the apex of the microrod structure exceeding 600 nm directly visualizing the highest In uptake.

A.2.33
18:00
Authors : Masataka Imura,1 Shunsuke Tsuda,1 Takahiro Nagata,1 AnLi Yang,1,2 Yoshiyuki Yamashita,1,2 Hideki Yoshikawa,1,2 Keisuke Kobayashi,1,2 Yasuo Koide,1 Tomohiro Yamaguchi,3,4 Masamitsu Kaneko,4 Ke Wang,4 Tsutomu Araki,4 and Yasushi Nanishi4
Affiliations : 1National Institute for Materials Science (NIMS), 1-1 Namiki, Tsukuba, Ibaraki 305-0044, Japan 2Synchrotron X-ray Station at SPring-8, NIMS, 1-1-1 Kouto, Sayo-cho, Sayo, Hyogo 679-5148, Japan 3Faculty of Engineering, Kogakuin University, 2665-1 Nakano-machi, Hachiouji, Tokyo 192-0015, Japan 4Faculty of Science and Engineering, Ritsumeikan University, 1-1-1 Noji-Higashi, Kusatsu 525-8577, Japan

Resume : InN and In-rich InGaN epilayers have attracted considerable interest owing to their unique properties and potential applications. Until now, these epilayers have been grown by RF-MBE. However the epilayers, including unintentionally doped and Mg-doped ones, possess n+ surface layers with high-density electrons. The high-density electrons at the surface induce a huge downward energy-band bending, which prevents the exploration of the intrinsic material properties. Therefore, despite the intensive studies, the conductivity controls are still difficult, and the surface and bulk properties have not yet been fully understood. A combination of soft and hard X-ray photoelectron spectroscopies (SX-PES and HX-PES, respectively) is promising tools for evaluating the electronic structures of materials in surface and bulk regions. In our previous studies, the core-level and valence band (VB) photoelectron spectra of unintentionally doped and Mg-doped InN have been systematically investigated by angle-resolved SX-PES and HX-PES, which enables us to discuss the energy-band diagram, polarity, VB maximum (VBM) position in bulk, and oxygen distribution.1, 2) In this study, we investigated the core-level and VB spectra of unintentionally doped and Mg-doped In0.7Ga0.3N epilayers by SX-PES and HX-PES, and the electronic structures were discussed based on the previous studies. For unintentionally doped In0.7Ga0.3N, the core-level spectra (In 3d, N 1s, and Ga 2p) show single-peak profile with asymmetry. According to previous study, the asymmetry was mainly originated from the downward band bending due to the presence of n+ SEA layer. In contrast, for Mg-doped one, the core-level spectra show double-peaks with asymmetry, which was caused by the formation of n+ surface layer and Mg-doped p layer in bulk.

A.2.34
18:00
Authors : Kankat Ghosh1; S. Bhunia2; and Apurba Laha1.
Affiliations : 1Department of Electrical Engineering, Indian Institute of Technology Bombay, Mumbai, India. 2Department of Physics, Indian Institute of Technology Bombay, Mumbai, India.

Resume : We report on the Raman analysis of InN films grown on GaN/Sapphire substrates by plasma assisted molecular beam epitaxy (PAMBE) technique wherein the III/V ratio has been varied from In rich condition to nitrogen rich condition by increasing nitrogen plasma power and keeping In flux fixed. The effect of III/V ratio on the free electron concentration and the residual stress in InN films are extracted from the Raman spectra. The significant broadening of A1(TO) phonon mode appearing at ~432 cm-1 and its upward frequency shift upto ~440 cm-1 for increasing plasma power indicates a strong coupling with longitudinal plasmon mode and hence this longitudinal phonon-plasmon coupled mode is fitted with appropriate parameters to extract the electron concentrations. In conformation with the photoluminescence results it was found that the free electron concentration and effective optical absorption edge increases with increasing plasma power. This effect is attributed to the formation of increasing number of nitrogen vacancies (which are theoretically established donors in InN films) at the film-substrate interface with increasing plasma power. Interestingly though, the variation of the peak position of the E2(high) mode in the spectra depicts that the residual stress is the minimum for the film grown in stoichiometric condition (III/V~1). The investigation is underway to understand the correlation between the variation of the structural and electrical properties.

A.2.35
18:00
Authors : Jianpeng Cheng, Xuelin Yang, and Bo Shen
Affiliations : School of Physics, Peking University, Beijing 100871, China

Resume : Understanding the semiconductor surface and its properties including surface stability, atomic morphologies, and even electronic states are of great importance not only for understanding surface growth kinetics, but also for evaluating the degree to which they affect the devices’ performance. Here, we report studies on the nanoscale fissures related surface instability in AlGaN/GaN heterostructures on Si substrates. Experimental results reveal that edge dislocations are actually the root cause of the surface instability. The nanoscale fissures are initially triggered by the edge dislocations and the subsequent evolution is associated with tensile lattice mismatch stress and hydrogen etching. According to this mechanism, the instability can be suppressed either by decreasing the stress in the surface layer or by decreasing dislocation density. By employing the method with GaN cap layer and the dislocation density reduction technology we developed for GaN-on-Si in our previous work, high quality fissure-free AlGaN/GaN heterostructure surface can be obtained. The room temperature electron mobility of AlGaN/GaN heterostructures can be up to 2260 cm2/Vs at an electron density of 1.0 ×1013 cm-2. Our findings resolve a long-standing problem on the surface instability in AlGaN/GaN heterostructures and will also lead to new understandings of surface growth kinetics in other hexagonal semiconductor systems. Ref: [1] J. P. Cheng, et al., ACS Appl. Mater. & Interfaces 8, 34108 (2016).

A.2.36
18:00
Authors : Y. EL Gmili1, P. L. Bonanno1,2, S. Sundaram1, X. Li1, R. Puybaret3, G. Patriarche4, C. Pradalier3, J. Decobert5, P. L. Voss1,2, J.P. Salvestrini1,6, A. Ougazzaden,1,2,*
Affiliations : 1CNRS, UMI 2958 GT - CNRS, 2 Rue Marconi, 57070 Metz, France; 2School of Electrical and Computer Engineering, GIT, Atlanta, Georgia 30332-0250, USA; 3GT Lorraine, GT-CNRS, UMI2958, 57070, Metz, France; 4CNRS, UPR LPN, Route de Nozay, 91460 Marcoussis, France; 5III-V Lab, joint laboratory between Nokia Bell Labs France, Thales Research and Technology, and CEA-LETI, 1 av Augustin Fresnel, 91767 Palaiseau, France; 6Université de Lorraine et CentraleSupélec, LMOPS, EA44231, 57070 Metz, France;

Resume : Nano selective area growth (NSAG) can significantly extend the critical layer thickness of pseudomorphic growth in mismatched heterostructures. NSAG exploits three-dimensional stress relief mechanisms at the nanoscale to reduce the strain energy in lattice-mismatched material systems without creating dislocations, leading to higher indium incorporation and thickness of the InGaN layer on AlN/Si(111) (APL 107: 113105, 2015). The goal of this study is to reach different compositions of InGaN in one growth step, yielding different LED wavelengths. We have studied the effect of both mask geometry and growth temperature on thickness enhancement and indium composition of the InGaN nanolayers grown on AlN/Si(111) by MOCVD. We did NSAG of 20-nm GaN NSAG using HSQ masks on AlN buffered Si(111) templates using three different mask geometries (1,4 and 16 um margin-masked NSAG) and two different growth temperatures (780°C and 800°C). We obtained perfectly selective growth on the patterned area, without any polycrystalline deposits on the masks and smooth faceted InGaN nanopyramids, with uniform 90% single crystal heterostructures. We found that NSAG consistently yielded 1.2 times the Indium content of our unpatterned field growth (23% and 33% for 800°C and 780°C NSAG, respectively). While the vapor phase diffusion model is useful for predicting In % and growth enhancement in micron-scale SAG, our NSAG growth enhancement is dominated by surface migration of precursor species across the mask, i.e., species that reach the mask migrate in a random lateral direction until they come across existing InGaN material into which they can adsorb. Single step designs for three colors LEDs will be presented.

A.2.37
18:00
Authors : Christian Koller1 3, Gregor Pobegen1, Clemens Ostermaier2, Martin Huber2, Dionyz Pogany3
Affiliations : 1KAI GmbH, Europastrasse 8, 9524 Villach, Austria; 2Infineon Technologies Austria AG, Siemensstrasse 2, 9500 Villach, Austria; 3Institute of Solid State Electronics, Vienna University of Technology, Floragasse 7, 1040 Wien, Austria

Resume : GaN-on-Si power devices commonly use carbon-doped GaN (GaN:C) buffers to achieve high blocking capability. However, dynamic effects related to trapping in carbon defects (e.g. current collapse) limit the performance. As the GaN:C buffer in GaN stacks is sandwiched between a strain relief layer and a GaN channel, investigations of GaN:C are strongly influenced by carrier injection from these layers. In contrast, we study 300nm thick GaN:C (1e19 cm-3) layers embedded between a top metal contact and bottom n-doped GaN. Such simple structures provide deeper understanding of trapping in GaN:C independently of the structure. Investigations include DC IV and CV measurements as well as capacitance transient spectroscopy (10µs-10ks), in a temperature range between 20 and 560K. Fast C(t) transients at various quiescent bias points allow the extraction of quasi-static CV curves before trapping occurs in GaN:C. The difference of quasi-static and steady-state CV curves indicate the capture of negative charges in carbon acceptors in concentrations of 1-6e17cm-3. We find that despite the semi-insulating behavior of GaN:C, charges can be redistributed via dislocations and captured in carbon states. This redistribution cancels out the electric field in GaN:C, suppressing blocking behavior. Only overall leakage current can suppress full redistribution and generate blocking behavior in GaN:C. Its careful consideration is crucial for the design of highly reliable, blocking GaN:C buffers.

A.2.38
18:00
Authors : Yuki Sawada1, Takumi Yamada1, Kousuke Murakami1, Masatomo Honjo1, Hiroki Imabayashi1, Keisuke Kakinouchi1, Kenta Harimiya1, Kousuke Nakamura1, Tomoko Kitamura1, Masayuki Imanishi1, Mamoru Imade1, Masashi Yoshimura2, Yusuke Mori1
Affiliations : 1Grad. Sch. of Eng. Osaka Univ., 2ILE. Osaka Univ.

Resume : The Na-flux point seed (PS) technique is a promising candidate for growing the GaN crystal with low threading dislocations density (TDD). Threading dislocations (TDs) free GaN crystal is successfully obtained using a necking technique through a sapphire plate with a hole. However, a few TDs occasionally propagated out of the hole. To grow TDs-free GaN crystal reproducibly, we investigated dependences of mask patterns on TDD. A GaN PS was established by mounting sapphire plates (thickness: 0.4-2.0 mm) with a hole (1.2 mm in diameter) on a c-GaN template. The PS, Ga, Na and C were placed in a crucible. The growth proceeded with a Ga-Na melt at 870 under N2 pressure of 4.0 MPa for 96 h. After the crystals were sliced at the heights of each hole depth, TDD in two growth sectors: c- and {10-11}-growth sectors, were measured using an etch-decoration technique. As a result, the sample grown with 1.0-mm-thick mask have many etch pits with a density of ~106 cm-2 in the c-growth sector and ~104 cm-2 in the {10-11}-growth sector. In contrast, in the sample grown with 2.0-mm-thick mask, the etch pits in the c-growth sector was about ~105 cm-2, and the size of c-growth sector got smaller compared to the crystal grown with 1.0 mm-thick mask. On the other hand, no etch pits were observed in the {10-11}-growth sector. In summary, by increasing the mask thickness, a larger number of TDs were terminated in the hole, resulting in expansion of the area free from TDs on the top film.

A.2.39
18:00
Authors : Martina Morassi(1), L. Largeau(1), F. Oehler(1), L. Mancini(1), V. Piazza(1), L. Travers(1), F.-H. Julien(1), J.-C. Harmand(1), M. Tchernycheva(1), N. Gogneau(1)
Affiliations : (1) - Center for Nanoscience and Nanotechnologies (C2N), CNRS, Univ. Paris-Sud, Univ. Paris-Saclay, Orsay & Marcoussis sites, France

Resume : The growth of high-quality InGaN 2D layers is a major challenge due to the interplay of strain and kinetic factors, resulting in high threading dislocation densities and non-homogeneous alloy composition. The synthesis of InGaN in a nanowire (NW) form appears as an interesting alternative to achieve high-quality In-rich InGaN heterostructures for light emission, photovoltaics or piezo-generation. In the present work, we demonstrate the growth of highly homogeneous In-rich InGaN heterostructures in self-assembled GaN NWs grown by Plasma-Assisted MBE. We determine the InGaN NW growth diagram and we reveal two distinct growth regimes: depending on the In/Ga ratio either tapered islands or cylindrical InGaN heterostructures are formed. We demonstrate the tuning of the In content from 5 % up to 40 % (emitting in the 2.7-2.1 eV range) as a function of the growth conditions. In particular, we show that specific growth conditions leading to the formation of an In-adlayer surfacting the growth front are required to promote the growth of relatively thick In-rich cylindrical InGaN heterostructures characterized by a high alloy homogeneity and by abrupt interfaces. The nano-scale alloy randomness is confirmed by the absence of S-shape behavior in temperature dependent photoluminescence. A radiative IQE of 44% for InGaN cylindrical heterostructures with 40% of In content attests their high optical quality and corroborates the relevance of well-controlled InGaN/GaN NWs growth.

A.2.40
18:00
Authors : G. Staszczak 1, I Gorczyca 1, M. Siekacz 1, C. Skierbiszewski 1,2, E. Grzanka 1,2, J. Smalc-Koziorowska 1,2, X. Q. Wang 3, M. Anikeeva 4, T. Schulz 4, M. Albrecht 4 and T. Suski 1
Affiliations : 1 Institute of High Pressure Physics, Sokolowska 29/37, 01-142 Warsaw, Poland 2 TopGaN Ltd, Sokolowska 29/37, 01-142 Warsaw, Poland 3 State Key Laboratory of Artificial Microstrucutre and Mesoscopic Physics, Beijing, P.R. China 4 Leibninz Institute for Crystal Growth, 1248 Berlin, Germany

Resume : Theoretical considerations of InN/GaN short-period superlattices (SPSL) suggest that such structures might be one of the possible ways to avoid difficulties in obtaining high-quality InGaN quantum wells with large In-content. It has been shown that strain and built-in electric field represent important factors that should be taken into account in calculations of the band gap of these SPSLs. Concerning results of fabrication of InN/GaN SPSLs most of the attempts were concentrated on structures with one atomic monolayer thick QWs embedded in much thicker GaN QBs. Their structural characterization led to two approaches. First one consists in claims that QWs are formed by non-continuous one ML of InN. The second interpretation (which is supported by our studies) proposed InxGa1-xN as a ML forming continuous QW. Our ab-initio calculations of the band gap of In(Ga)N/GaN SPSLs are in agreement with the second approach. In this work we concentrated on hydrostatic pressure studies of the band gap evolution, dEG/dp, in InGaN/GaN SPSLs (grown by MBE) comparing experimental evolution of photoluminescence related to EG with theoretical predictions. We found very good agreement of experiment and theory when InGaN QWs with In content in the range of 0.25-0.35 is used. Such an In-concentration was also found in our X-ray diffraction and high resolution TEM studies. This work was supported by National Science Centre Poland grant nr 2014/15/D/ST3/03808, DEC-2013/11/B/ST3/04263, EU SPRING Project Marie Curie Grant Agreement No. 642574.

A.2.41
18:00
Authors : Naoki Takeda, Masayuki Imanishi, Kousuke Murakami, Masatoshi Hayashi, Mamoru Imade, Masashi Yoshimura, Yusuke Mori
Affiliations : Grad. Sch. of Eng. Osaka Univ.

Resume : GaN has wide applications in fabricating power devices. However, dislocations with high density in the crystal have hampered those applications. Our research group has been investigating the solution to this issue with Na-flux method. We discovered that by adding the graphite directly to the Ga-Na flux, we could improve the crystal growth rate and suppress the formation of polycrystals. Since there has been no further investigation on the addition method itself, I developed a new setup to investigate the possibility of using gas-phase carbon compounds as additives. The crystal growth was performed on GaN-on-sapphire substrate at 850°C under a nitrogen pressure of 4.5 MPa for 48h. As a comparison, experiments with non-carbon addition and the conventional carbon addition were also carried out. LPE yield increased and polycrystals yield decreased in both set ups compared to non-carbon addition. With the conventional addition, three-dimensional growth, in which facets composed of (0001) and {"10" "1" ?"1" } planes exist on the surface, occurred. On the contrary, with the new carbon addition, the growth mode was two-dimensional and the surface morphology was flatter than that of crystal grown by the conventional addition. From these results, the new carbon addition method is found to be capable of adding carbon into the flux by gaseous state. As it enables high carbon concentration near gas-liquid interface, this method is expected to suppress the generation of polycrystals more efficiently.

A.2.42
18:00
Authors : B. Sheng1,2, F. Bertram2, P. Wang1, X. Sun1, M. Müller2, P. Veit2, T. Hempel2, J. Christen2 and X. Wang1
Affiliations : 1State Key Laboratory of Artificial Microstructure and Mesoscopic Physics, School of Physics, Peking University, Beijing, 100871, China; 2Institute of Experimental Physics, Otto-von-Guericke-University Magdeburg, 39106 Magdeburg, Germany

Resume : Single photon emitters are the essential basis for device applications in quantum optics and quantum information processing. Due to their large band offset and high binding energy of the excitons, wide wavelength tunability from the visible to the ultraviolet spectral range, III-nitrides quantum structures are most promising candidates for such devices. Despite the success in growing quantum dots, operating up to 300 K in 2014, the inherent piezoelectric fields, alloy fluctuations, shape and size control of the active region pose severe challenges with respect to fast and efficient generation of a single photon flux at a well-defined wavelength. In this work, self-assembled GaN nanowires (NWs) with AlN/AlGaN/AlN quantum disc on top have been grown on Si (111) substrate by plasma-assitant molecular beam epitaxy. The hexagonally shaped nanowires are homogenously grown over the whole Si wafer in a dense array (~108 cm-2) with heights up to 700 nm. To correlate the structural and optical properties of individual nanowires, cathodoluminescence spectroscopy (CL) has been performed at liquid-He-temperature revealing a characteristic luminescence with distinct emission peaks from the NWs. CL linescans along the nanowires clearly identify the emission coming from GaN bottom part and the AlGaN QDs, respectively. To investigate the emission of the QDs in detail, highly resolved CL measurements performed inside a scanning transmission electron microscope are going to be presented.

A.2.43
18:00
Authors : Matthew Charles; Yannick Baines; Renan Bouis, Anne-Marie Papon
Affiliations : Univ. Grenoble Alpes, F-38000 Grenoble, France; CEA, LETI, MINATEC Campus, F-38054 Grenoble, France

Resume : The passivation of the surface of GaN based HEMTs is a critical aspect of the performance of high power transistors, and as such it is important that this layer is optimized and understood. We have grown samples with three different types of cap layer by MOVPE using a close coupled showerhead reactor. These samples were composed of AlN plus AlGaN buffers plus GaN on silicon (111), followed by an AlGaN barrier layer, including 1nm AlN spacer. These layers then had an additional capping layer, composed of either GaN cap, SiN in-situ cap, or a combination of the two, each time having a variety of different thicknesses of the layers, from 1 to 10 nm. X-ray reflectance was used to measure the thicknesses of these layers, and photoluminescence (PL) was performed to verify the AlGaN composition of the barrier layers. This PL measurement showed strong sensitivity to the GaN capping layer thickness, in particular for layers less than 3 nm thick. With these different variants, we have studied the effect of different cap thicknesses on the morphology of the surface by Atomic Force Microscopy, with additional Transmission Electron Microscopy (TEM) analysis to understand the effect of different layers on surface roughening. The TEM analysis has also allowed us to investigate the crystallinity of the individual layers. Next, we have studied the effect on the resistance of the layers for the different varieties of these layers, with modelling of band structure to understand the behavior of the layers. Finally, we have examined the resulting device performance using several of these, allowing us to define the preferred solution for power devices.

A.2.44
18:00
Authors : Shotaro Takeuchi1, Yuki Mizuta1, Masayuki Imanishi2, Mamoru Imade2, Yusuke Mori2, Yasuhiko Imai3, Shigeru Kimura3, Akira Sakai1
Affiliations : 1Graduate School of Engineering Science, Osaka University; 2Graduate School of Engineering, Osaka University; 3Research & Utilization Division, Japan Synchrotron Radiation Research Institute (JASRI)

Resume : Na flux growth of GaN combined with multi-point-seed technique provides extremely high quality GaN bulk single crystals. We previously reported that dislocation propagation behaviors in the Na flux GaN were closely related with the seed-GaN surface structure and the growth mode of the Na flux GaN [1]. However, effects of the surface structural property and the growth mode to the dislocation propagation behaviors have not been clarified yet in detail. In this study, we have investigated dislocation propagation behaviors in the Na flux GaN grown with controlling the seed-GaN surface structure and the growth mode by using transmission electron microscopy (TEM). The TEM analysis revealed that the dislocation propagation behaviors in the Na flux GaN strongly depend on the seed-GaN surface morphology with the presence or absence of dislocation-related etch pits, and the growth mode of the Na flux GaN which is controlled by the amount of carbon additive in the Ga-Na melt. The presence of dislocation-related etch pits on the seed-GaN surface and the three-dimensional growth mode of the Na flux GaN effectively induced the lateral propagation of dislocations penetrated into the Na flux GaN from the seed-GaN. Roles of the dislocation-related etch pits and the growth mode to dislocation propagation behaviors will be discussed on the basis of TEM results in combination with nano beam X-ray diffraction ones in more detail. [1] S. Takeuchi et al., JAP 118, 245306 (2015).

A.2.45
18:00
Authors : Mun-Do Park [1], Jung-Wook Min [2], Ci Hyun Kim [3], Jun Youb Lee [1], Hoe-Min Kwak [1], Sang-Min Kim [1], Kyung-Pil Kim [1], Dong-Seon Lee [1]
Affiliations : [1] School of Electrical Engineering and Computer Science, Gwangju Institute of Science and Technology (GIST), Gwangju, South Korea; [2] Photonics Laboratory, King Abdullah University of Science and Technology (KAUST), Thuwal, Saudi Arabia; [3] School of Materials Science and Engineering, Gwangju Institute of Science and Technology (GIST), Gwangju, South Korea

Resume : AlGaN has been studied as a material for next-generation optoelectronic and power electronic devices. Particularly, due to its wide bandgap characteristic, it is considered as a promising material for UV LED. It can replace conventional mercury lamp used as UV light source. Compared to mercury lamps, the UV LED is energy-saving, does not use toxic materials and has design flexibility. In the UV wavelength region, the UV-A region has greatest market growth potential. At present, UV LED is mainly used as curing application belonging to UV-A region. It is the initial R&D status for various application, and to make high quality UV LED, many researches on AlGaN thin film have been carried out. But, due to the degradation of the film quality caused by many dislocations and defects, there is a problem in making high performance devices in the case of AlGaN thin film. To overcome these problems, AlGaN nanowires are being studied instead of AlGaN thin films. In this context, we discuss how to grow AlGaN nanowires and tune the optical bandgap corresponding to the UV-A region by controlling the N2 flow and growth temperature. Unlike the conventional method of controlling the Ga and Al flux to control the aluminum contents, Ga and Al flux were fixed. Characteristic analysis of AlGaN nanowires was also conducted depending on N2 flow and growth temperature.

A.2.46
18:00
Authors : R. Kuramoto, M. Imanishi, M. Honjo, K. Murakami, H. Imabayashi, M. Imade, M. Yoshimura, and Y. Mori
Affiliations : Department of Electrical, Electronic and Information Engineering, Osaka University

Resume : High-quality bulk crystals are needed in the field of group III nitride semiconductors. Na-flux method has a mechanism for reduction of dislocation density, resulting in a production of high-crystallinity wafers. Thick growth on the wafer, namely regrowth, is one of the way to obtain bulk crystals. For the realization of the regrowth without generation of dislocations between LPE layer and seed layer, surface treatment is a very important issue. In this study, we investigated the effects of surface treatment of seed GaN on dislocation density in the growth layer. Two kinds of the surface treatments were carried out. One was wet etching (WE) with pyrophosphoric acid (WE substrate); the other was CMP (CMP substrate). The growth proceeded with nitrogen dissolution into a Ga-Na melt under N2 pressure. Dislocation density in WE substrate decreased from 106 cm-2 in the seed to 104 cm-2 in the LPE layer, while dislocation density in CMP substrate showed no apparent change. On the other hand, flux inclusions was remarkably observed in WE substrate. Therefore, we investigated dislocation density above the area where flux inclusions existed. No etch pits could be observed in the area. It is estimated that propagation of dislocations was blocked by the crystal internal faces in flux inclusions, which resulted in the dislocation reduction. From this result, introduction of flux inclusions into the crystal is found to be one of effective ways to reduce dislocation density.

A.2.47
18:00
Authors : P W Mitchell [1](corresponding author), S Church [1], P Dawson [1], D J Binks [1], D Nilsson [2], L J Shaw [2], M J Kappers [3], M Frentrup [3], S L Sahonta [3], D J Wallis [3], R A Oliver [3], C J Humphreys [3]
Affiliations : [1] School of Physics and Astronomy, University of Manchester, M13 9PL, UK; [2] Anvil Semiconductors, Future Business Centre, King’s Hedges Road, Cambridge, CB4 2HY, UK; [3] Department of Materials Science and Metallurgy, University of Cambridge, CB3 0FS, UK

Resume : Cubic zincblende-structure gallium nitride (zb-GaN) grown on 3C-SiC/Si(001) substrates by MOCVD[1] may contain thermodynamically stable, wurtzite-like (wz) stackings, either as large wz-GaN inclusions, or as stacking faults (SF) along the 3-fold <111> axes. Using Raman spectroscopy with a 488 nm laser, we have identified the signature of wz-GaN inclusions as resolved, sharper lines at 556 cm^-1 (15 cm^-1 FWHM) and 565.5 cm^-1 (6 cm^-1 FWHM). Hexagonal material in SFs shows unresolved, broader peaks at slightly lower frequencies, at 552 cm^-1 (17 cm^-1 FWHM) and 564 cm^-1 (7 cm^-1 FWHM). The fraction of wz-GaN (inclusions and SF) has been calculated from the area under the transverse optic (TO) spectral lines, calibrated by comparison with the fractions measured in a number of samples using X-ray diffraction. MOCVD reactor parameters can be refined using the Raman data to find wz fractions quickly as a function of growth temperature, pressure, V/III-ratio, etc. At high doping levels, the electrostatic interactions, which raise the longitudinal optic and lower the TO mode frequencies of polar phonons, are screened, and a new peak at 649cm^-1 is observed. This peak is identified as the fully-screened optic phonon frequency in zb-GaN. This has been observed both with intentional doping of magnesium, and unintentional doping of oxygen, with impurity levels determined by SIMS. [1] Hashimoto T. et al, J. Cryst. Growth 169, 185 (1996)

A.2.49
18:00
Authors : T. Journot 1,2; J. Dijon 1,3; B. Hyot 1,2
Affiliations : 1 Univ. Grenoble Alpes, 38000 Grenoble, France. 2 CEA, LETI, MINATEC campus, 38000 Grenoble, France. 3 CEA, LITEN, 38000 Grenoble, France.

Resume : Because of the scarcity, small dimensions and price of monocrystalline GaN, epitaxy on crystalline hetero-substrates such as sapphire, silicon or SiC is mainly used. However heteroepitaxial growth has to deal with differences in lattice parameters and thermal expansion coefficients which often lead to poor material quality. Thus the compatible substrate range is limited for GaN growth. As a consequence substrates often have some unsuitable characteristics for the final device performances. One possible way to release these constraints consists in using Van der Waals epitaxy. Among the possibilities, the presence of a monolayer graphene sheet (2D material) on top of a substrate could make it possible to grow GaN only with weak bonds of Van der Waals type at the interface. This weak interface would allow to grow relaxed GaN layers and, further, to transfer it on a dedicated substrate. In this presentation, we will show that, even if graphene has a very low surface energy because of the absence of dangling bonds on its surfaces, the growth of a continuous thin film of nitride is achievable. Those GaN layers were found to have crystalline qualities (as deduced from in plane and out of plane X-ray Rocking Curves) comparable to the ones grown on the traditional substrates. Additionally our layers were partially relaxed, suggesting a self-governing growth of GaN on graphene. In this work, we focus also on a precise investigation of the phenomena involved upon nucleation.

A.2.50
18:00
Authors : Jong-Hoi Cho1, Seung-Hyuk Lim1, Min-Ho Jang1, Samuel Matta2, Julien Brault2, Yong-Hoon Cho1
Affiliations : 1Department of Physics and KI for the NanoCentury, Korea Advanced Institute of Science and Technology, 291 Daehak-ro, Yuseong-gu, Daejeon 34141, Republic of Korea ; 2Centre de Recherche sur l’Hetero-Epitaxie et ses Applications, Centre National de la Recherche Scientifique, Rue B. Gregory, 06560 Valbonne, France

Resume : Broadband ultraviolet (UV) light sources have much attentions for many applications such as UV curing and UV absorption spectroscopy. However, conventional UV light sources such as mercury-vapor lamps have some challenging issues. The mercury-vapor lamps are bulky systems and cause environmental issues due to use of mercury. In this respect, AlGaN-based UV LEDs have been suggested to replace mercury-vapor lamps. In spite of several benefits of AlGaN-based UV LEDs such as compact size and wavelength tunability, low light extraction efficiency and narrow spectrum range restrict practical applications. In this work, Stranski-Krastanov mode, GaN quantum dots (QDs) grown on various facets of three-dimensional truncated pyramid structures have been suggested as a broadband ultraviolet light source. Since the truncated pyramid structures include polar- and semipolar-facets, different structural and optical properties of QDs are expected since QD size and quantum confinement Stark effect are different depending on the facets. As a result, various UV emission wavelengths ranging from UV-A to UV-C were observed from each facets, which renders a broadband UV light emission. This work proposes a potential for solid-state based broadband light sources.

A.2.51
18:00
Authors : Takumi Yamada, Kosuke Murakami, Kosuke Nakamura, Hiroki Imabayashi, Masatomo Honjo,Keisuke Kakinouchi,Tomoko Kitamura, Kenta Harimiya, Masayuki Imanishi, Mamoru Imade, Masashi Yoshimura, Yusuke Mori
Affiliations : Grad. Sch. of Eng. Osaka Univ.

Resume : Low-curvature GaN substrates are indispensable for the realization of GaN-based electronic devices. In a previous study, we succeeded in suppressing crack formation using sapphire dissolution process after GaN crystals were grown on c-GaN templates (GaN on sapphire) by the Na-flux method. However, the radius of lattice curvature of the grown crystals was not always large even though no thermal stress was induced in the crystals during the cooling process. I estimated that the radius of grown crystals depend on the one of its seed substrates. Therefore, I focused on the sapphire thickness which is one of parameters involved in the curvature of seeds and investigated the curvature of grown crystals as a function of the sapphire thickness of their seeds. GaN crystals were grown on c-GaN templates in the Na-Ga-C solution. After the growth, Li was added in the flux in order to dissolve sapphire substrates. In this way, freestanding GaN substrates were fabricated. The radius of lattice curvature of grown crystals increased with sapphire thickness of its seed crystals, reaching more than 100 m when sapphire was 1 mm thickness. I think the bowing of grown crystals is closely related with the bowing of the seed crystal at growth temperature, and the bowing of the seed crystal at growth temperature as well as at room temperature is different depending on its sapphire thickness. Therefore, the curvature of grown crystals depends on the sapphire thickness of seed crystals.

A.2.52
18:00
Authors : Daifallah R Almalawi1, Mufasila M Muhammed1, Idirs A Ajia1, Katharina Lorenz2, Iman S Roqan1
Affiliations : 1Physical Sciences and Engineering Division, King Abdullah University of Science and Technology (KAUST), Thuwal 23955-6900, Saudi Arabia 2IPFN, Instituto Superior Tecnico (IST), Campus Tecnologico e Nuclear, Estrada Nacional 10, P-2695-066 Bobadela LRS, Portugal

Resume : Growing GaN nanowires (NWs) using bottom-up approach with metal catalyst introduces contamination. In this work, Pulsed Laser Deposition (PLD) has been used for the first time to our knowledge to grow GaN NWs successfully without catalysis or seeding using p-type Si, a-sapphire and c-sapphire substrates. The optimized operating conditions of the PLD was achieved at a substrate temperature of 850 C and a pressure of 150 and 200 mTorr. The optimized distance between the GaN target and the substrate was 9 cm and the laser energy was 200 mJ. Pre-ablation of 3000 pulses followed by 100000 pulses was found to be essential. Photoluminescence (PL) spectra (using H-Cd laser with 325 nm) of the GaN NWs showed GaN emission. Scanning Electron Microscopy images indicated that the GaN NWs length varied from 1.47 µm to 1.60 µm at a pressure of 150 mTorr, and from 1.13 µm to 1.60 µm at 200 mTorr. Post-annealing was performed to investigate the effect of the annealing on the optical and structural properties of GaN NWs. GaN NWs structure before and after annealing was established via X-ray Diffraction (XRD) and Transmission Electron Microscopy. XRD measurements showed peaks attributed to (100), (002), and (101) planes indicating a hexagonal structure of the NWs.

A.2.53
18:00
Authors : S.Bhunia1; K.Ghosh2; R. Sarkar2; S. Chouksey2; S. Mahapatra1; D. Saha2; and Apurba Laha2.
Affiliations : 1Department of Physics, Indian Institute of Technology Bombay, Mumbai, India. 2Department of Electrical Engineering, Indian Institute of Technology Bombay, Mumbai, India.

Resume : In recent years, GaN nanowire (NW) seems to be the most promising candidate for high frequency and high power applications. GaN NW based device structures exhibit better structural and optical properties over their planar counterpart. However, at higher temperature (> 850 oC) the GaN NWs start to decompose from the top contour that reduces the length and the diameter of NWs which makes the NW based devices unsuitable for high temperature device processing. In this study, it is observed that the GaN NWs if capped with thin AlN (~2nm) layer exhibit much higher thermal stability at higher temperature even in ultra high vacuum condition. We have grown three samples of GaN NWs on Sapphire substrates by PAMBE under the identical growth condition. After the growth, two of the NW samples were annealed in vacuo (~1*10-9 Torr) at ~ 900oC inside the growth chamber for 30 minutes with and without AlN capping respectively. The Scanning Electron Microscopy images show that with respect to the unannealed sample, there is hardly any decomposition of the NWs in the AlN capped sample, whereas without AlN capping there was a significant reduction of length and diameter of the NWs. Moreover the PL spectra at 10K shows that the full width at half maximum of the near band edge emission becomes almost half upon annealing the NWs with AlN capping which implies an improvement of the structural quality of NWs. Thus, we argue that the AlN capping on NW may improve the thermal and structural stability of GaN NW based devices at very high process temperatures.

A.2.54
18:00
Authors : Sunghan Choi1, Hyun-Gyu Song1, Wonho Kim2, Eunhyung Lee2, Sungwon David Roh2, Yong-Hoon Cho1
Affiliations : 1Department of Physics and KI for the NanoCentury, Korea Advanced Institute of Science and Technology 291 Daehak-ro, Yuseong-gu, Daejeon 34141, Republic of Korea ; 2Advanced Materials & Components Lab, R&D Center, LG Innotek, Seoul, Republic of Korea

Resume : GaN rods with core/shell quantum wells (QWs) have advantages such as low dislocation density, low polarization-induced field in QWs. For realizing highly efficient photonic devices based on the GaN rods, high quality doping layers are required. However, p-type doping in GaN rods suffers from doping inhomogeneity along the rod together with conventional p-type doping problems. Considering this complexity, comprehensive understanding of p-type doping in GaN rod is essential for device applications. In this work, we investigate the doping property along the single Mg-doped p-GaN rod grown by metal-organic chemical vapor deposition. We used spatially resolved optical and structural measurements and proceed the comprehensive doping study using correlation between these results. From the spatially resolved optical measurement, we found the two luminescence regions by doping; ultraviolet luminescence and green luminescence (GL). We also confirmed the GL intensity ratio change along the growth direction of the rod. Quantified Mg concentration change in the p-GaN rod was measured by component analysis using secondary ion mass spectrometry. We also confirmed the correlation between GL intensity ratio and Mg concentration change. Furthermore, we studied the resistivity in a single p-GaN rod by using electrodes fabricated on the single rod. More detailed information about these analyses and the correlation between them will be given in the presentation.

A.2.55
18:00
Authors : B. H. Le1 2, S. Zhao1, X. Liu1 2, S. Y. Woo3, G. A. Botton3 and Z. Mi1 2* * Author for correspondence: zetian.mi@mcgill.ca
Affiliations : 1Department of Electrical and Computer Engineering, McGill University, Montreal, QC H3A0E9, Canada; 2Department of Electrical Engineering and Computer Science, University of Michigan, Ann Arbor, MI 48109-2099, USA; 3Department of Materials Science and Engineering, Canadian Centre for Electron Microscopy,McMaster University, 1280 Main Street West, Hamilton , ON L8S 4M1 , Canada.

Resume : AlGaN heterostructures grown on foreign substrates typically exhibit high dislocation densities and large polarization field. In this context, we have demonstrated, for the first time, nearly dislocation-free AlGaN semipolar film on sapphire substrate through controlled coalescence of GaN/AlGaN nanowire arrays via selective area epitaxy (SAE), which is also an architecture allowing for efficient Mg-dopant incorporation and high performance optoelectronic devices. AlGaN nanowires by SAE on GaN-on-sapphire have precisely defined diameter, spacing and nearly identical orientation with respect to the substrate, which can minimize the formation of dislocations as gradual coalescence occurs with a very slow lateral growth rate. Furthermore, the nanowire growth can suppress the propagation of dislocations. The film surface consisted of semipolar planes, which is a quasi-3D surface that can further relax strain and avoid the formation of defects. As a result, the coalesced AlGaN film exhibited superior quality and high internal quantum efficiency ~83%. With the incorporation of Mg-dopant, coalesced AlGaN epilayers showed excellent p-type characteristics, including free hole concentration ~7×18 cm-3 at room-temperature, which is nearly ten times higher than previous reports. High performance semipolar AlGaN LEDs at ~340 nm have also been demonstrated with output power of 15 W/cm2 at an injection current of 90 mA.

A.2.56
18:00
Authors : P. Sohi1, D. Martin1, P. Vennéguès2, N. Grandjean1
Affiliations : 1Institute of Physics, Ecole Polytechnique Fédérale de Lausanne (EPFL), 1015 Lausanne, Switzerland;2Université Côte d?Azur, CRHEA-CNRS, rue B. Grégory, F-06560 Valbonne, France

Resume : Over the past two decades a lot of effort has been made in the development and optimization of high electron mobility transistors based on AlGaN/GaN and InAlN/GaN heterostructures. Parasitic leakage currents and crystal defects are the two main issues which may affect the lifetime and reliability of such devices. A new approach is the use of AlN/GaN/AlN heterostructures in which AlN serves both as a barrier and back-barrier, drastically improving the confinement of the 2DEG. The main scattering mechanisms limiting the electron mobility for such heterostructures are interface roughness and crystal defects. Regarding the latter, we have recently shown that the density of pre-existing dislocations dramatically affects the critical thickness of GaN on AlN for the onset of plastic relaxation. Using low growth temperature (750 °C) and AlN single crystal substrates, enables nearly defect-free pseudomorphic AlN/GaN/AlN heterostructures with GaN thickness as large as 35 monolayers. The low growth temperature however leads to a surface morphology roughening. Additionally, it is still unclear what types of dislocations are involved in the strain relaxation process. We will report on the impact of growth parameters on the surface morphology and investigate the strain relaxation mechanisms by transmission electron microscopy.

A.2.57
18:00
Authors : Hironori Okumura, Sami Suihkonen, and Tomas Palacios
Affiliations : Faculty of Pure and Applied Sciences Tsukuba University, Department of Electronics- and Nanoengineering Aalto University, Department of Electrical Engineering and Computer Science MIT

Resume : AlN is a promising material for deep-UV LEDs and high-power applications due to its large band-gap energy of 6 eV. However, AlN-based devices suffer from high contact resistances due to high potential-barrier height at a metal/AlN interface. To reduce this n-type contact resistance, it would be useful to dope a donor into the AlN underneath the metal contacts. This can potentially be achieved either with selective regrowth or ion implantation. In this study, we investigate Si-ion implantation in AlN with various annealing temperatures. Si concentrations between 10^19 and 10^21 cm-3 were incorporated into AlN films by ion implantation, in good agreement with the SRIM simulation. To recover the ion-implantation damage, the ion-implanted AlN films were thermally annealed between 1000 and 1500 degree C in N2 ambient. Despite no protective caps, the annealed AlN films with Si concentration below 10^20 cm-3 had small surface roughness (<1 nm (rms)). The AlN films implanted with a Si concentration of 4x10^19 cm-3 had n-type conductance after annealing at 1500 C for 30 min. The electron mobility and concentration at room temperature were 130 cm^2/Vs and 6x10^13 cm-3, respectively. From the reported Si-impurity ionization energy of 0.3 eV, we estimated an electron concentration on ~10^17 cm-3, indicating the electrical activation efficiency less than 0.1. This low electron concentration suggested that the ion-implantation damage is not completely recovered after 1500 C annealing, in good agreement with positron annihilation spectroscopy studies. Annealing for higher temperatures is currently underway.

A.2.58
18:00
Authors : M. Himmerlich1, S. Shokhovets1, J. Pezoldt1, L. Kirste2, V. M. Polyakov2, J. H. Leach3, S. Krischok1
Affiliations : 1Institut für Mikro- und Nanotechnologien MacroNano, Technische Universität Ilmenau, PF 100565, 98684 Ilmenau, Germany; 2Fraunhofer-Institut für Angewandte Festkörperphysik, Tullastr. 72, 79108 Freiburg, Germany; 3Kyma Technologies Inc., 8829 Midway West Road, Raleigh, North Carolina 27617, USA

Resume : We investigate the influence of different surface polishing treatments on the properties of HVPE grown bulk GaN crystals. Employing AFM, HRXRD, spectroscopic ellipsometry (SE) as well impedance spectroscopy, we identify differences in the structural, electrical and optical characteristics of crystals with a surface finish either obtained by mechanical polishing (mp) or by additional chemo-mechanical polishing (cmp). All cmp-treated surfaces have a low roughness of 0.1-0.5 nm, while the mp-treated samples exhibit values of 1.5-2.9 nm due to existing scratch patterns with 5-15 nm deep trenches. For Ga-polar and m-plane crystals with cmp finish, atomically flat surfaces with regular steps are obtained. For the N-polar orientation, the surface is found to be irregular without impact on the surface roughness. The mp treatment induces formation of a subsurface damage layer which is identified as broadening of the misorientation distribution and strain of crystalline grains, modifications in the C(V) and C(f) characteristics in impedance measurements, a broadening of signatures in IR-SE spectra as well as a broadening and a shift of the band gap absorption edge. These variations suggest a high defect density and electron concentration in the damage layer. Removal of this region by cmp is effective to uncover the high crystalline quality region of the samples and we demonstrate that SE analysis allows to benchmark the quality of the surface and bulk properties of GaN crystals.

A.2.59
18:00
Authors : M. Nemoz1, F. Semond1, S. Rennesson1, J. Zuniga-Perez1, D. Lefevbre1, A. Courville1, S. Chenot1, X. Lafosse2, S. Bouchoule2, G. Patriarche2
Affiliations : 1Université Côte d’Azur, CNRS, CRHEA, France 2Laboratoire Photonique et de Nanostructures, CNRS, Marcoussis

Resume : The growth of crack-free nitride-based high-reflectivity distributed Bragg reflectors (DBRs) is still very challenging. Due to a large lattice mismatch and a large difference in the thermal expansion coefficients, cracks tend to form in AlN/AlGaN DBR structures, resulting in a reduction in reflectivity and an increase in scattering losses. The patterning of the silicon substrate enables to grow crack-free high-reflectivity AlN/AlGaN DBRs. DBRs having 30 and 45 pairs are grown by NH3-MBE in a Riber Compact 21 growth reactor and the Al composition in the AlGaN layer is varied from 20 to 30%. Structural and optical properties of DBRs are studied and then microcavities are fabricated and characterized. This paper is mainly focussed on the X-ray diffraction measurements carried out to study the strain relaxation and the structural properties of DBRs. It turns out that simulations considering atomic inter-diffusion phenomena are needed in order to reproduce experimental XRD scans. Inter-diffusion and formation of complex chemical composition profiles are confirmed by a quantitative analysis using HAADF-STEM. Despite such unexpected composition profiles, high reflectivity values are obtained at targeted wavelengths but a better understanding of inter-diffusion phenomena would help to improve optical properties of DBRs.

A.2.60
18:00
Authors : A. Himmerlich, R. Rataj, P. Lorenz, V. Irkha, S. Krischok, M. Himmerlich
Affiliations : Institut für Physik and Institut für Mikro- und Nanotechnologien MacroNano, Technische Universität Ilmenau, PF 100565, 98684 Ilmenau, Germany

Resume : The band alignment and existence/energy of localized and delocalized intra-gap electron states play an important role for the electron transport across and along surfaces and interfaces to other materials. In this study, we investigate the electronic properties of clean and adsorbate-covered GaN and InN surfaces in dependence of their crystal orientation. We combine plasma-assisted molecular beam epitaxy on GaN bulk crystals, Al2O3 or SiC substrates and in-situ surface analysis by photoelectron spectroscopy (PES) to analyse the valence band and intra-gap states of metal-polar, N-polar and nonpolar surfaces as well as the related surface band alignment. In addition, real-time PES monitoring during adsorbate exposure is used to analyse charge transfer processes, i.e. charge donation/extraction between surface and adsorbate as well as the nature of the adsorbate-induced surface dipole. We will present the quantitative differences in upward band bending for GaN and downward band bending for InN of clean surfaces, which can be explained by the nature and energy of electron states of unsaturated surface bonds. Hereby, especially the metal-polar orientation quantitatively deviates from the N-polar and m-plane configuration. Furthermore, the variation of band bending and related electron transfer processes upon adsorption of simple molecules such as hydrogen, oxygen, water as well as potassium atoms as well as co-deposition of K and H2O will be presented and discussed.

A.2.61
18:00
Authors : Hwan-Seop Yeo, Young-Chul Sim, Seung-Hyuk Lim, Yong-Hoon Cho
Affiliations : Department of Physics and KI for the NanoCentury, Korea Advanced Institute of Science and Technology 291 Daehak-ro, Yuseong-gu, Daejeon 34141, Republic of Korea.

Resume : Controlling symmetry properties of semiconductor quantum dot (QD) is important to the generation of polarization-entangled photon pair. The geometrical QD whose formation is assisted by three-dimensional (3D) structure is a more appropriate candidate for tailoring QD symmetry than Stranski-Krastanov QD because its geometry is determined by the apex of 3D structure. III-nitride geometrical QDs have a potential to realize both single photon and polarization entangled photon pair at room temperature in principle. However, more studies on symmetry properties of III-N QDs are still required. In this regards, we suggest that the geometrical QD in three-fold symmetric structure as the way for systematic investigation of symmetry-dependent optical properties of III-N QDs. Here, we report orientation- and dimension-controlled three-fold symmetric nanopyramid array grown by sub-micrometer selective area growth technique with metalorganic chemical vapor deposition. Various openings are designed by electron-beam lithography. We observe three-fold symmetric seed structure at initial growth step. The geometry of top of the seed becomes triangular shape clearly as increasing growth time. The finite width of the top of the structure is controlled by varying the growth temperature and pressure successfully. Thus, this work suggests that dimension-controlled three-fold III-N QDs would be possible, which makes III-N QDs applicable for generation of polarization-entangled photon pair.

A.2.62
18:00
Authors : Nicole Fèvre1 2, Nathaniel Feldberg1, Patrice Miska1, Elhadj Dogheche3, Christophe Licitra2, Bérangère Hyot2, Anne Roule2
Affiliations : 1IJL, Parc de Saurupt, 54011 Nancy Cedex;2CEA Grenoble, 17 rue des Martyrs, 38054 Grenoble Cedex 9; 3IEMN, Cité Scientifique, Avenue Poincaré, 59652 Villeneuve d'Ascq Cedex

Resume : The current generation of commercial LEDs exhibits a strong decrease in efficiency in the green-yellow-emission range known as the green-gap. The total efficiency of white light LEDs is therefore limited. The ternary semiconductor ZnGeN2 and its Sn containing alloy appear be promising as an approach for improving the device efficiency in this regime. This material system is analogous to GaN where the column III element is periodically replaced by column II and IV elements and is particularly interesting due to a good lattice match with GaN as well as a similar energy band gap. This is promising for the design of new LEDs with more efficiency in the green-gap range of wavelengths [1]. We report here on the growth and characterization of zinc-germanium nitride thin films with various Zn:Ge composition ratios, deposited by reactive co-sputtering. X-ray diffraction analysis indicates that all samples to date are amorphous. The evolution of optical properties with increasing zinc to germanium ratio is also investigated. The observed values of the optical band gap are ranging between 2.4 and 3.4 eV. Stoichiometric samples with Zn:Ge ? 1:1 present an optical gap which is consistent with literature values for crystallized ZnGeN2. Additional characterization has been carried out by means of FTIR, ellipsometry, microprobe and waveguiding. Reference: [1] L. Han, K. Kash and H. Zhao, J. Appl. Phys. 120(10) : 103102 (2016)

A.2.63
18:00
Authors : V.I.Nikolaev, A.I.Pechnikov, S.I.Stepanov
Affiliations : Perfect crystals LLC

Resume : Over recent years beta gallium oxide (β-Ga2O3) has attracted much interest as a perspective wide band gap semiconductor material for applications in UV optoelectronics and power devices. The important feature of β-Ga2O3 is its epitaxial compatibility with gallium nitride (GaN), i.e. high quality GaN films can be grown on β-Ga2O3 and vice versa. Such GaN/ β-Ga2O3 heterostructures present great scientific and practical interest. For example, transparent and conductive β-Ga2O3 substrates offer a viable alternative to conventional sapphire substrates in the fabrication of III-nitride light emitting diodes. Epitaxial β-Ga2O3 films deposited on top of GaN can be used as transparent contacts and current spreading layers. Here we report on epitaxial growth of GaN and β-Ga2O3 films and heterostructures by halide vapour phase epitaxy (HVPE) as this method offers the advantages of fast growth rate and simplicity of the technical implementation. GaN single layers and GaN p-n structures were grown on β-Ga2O3 substrates. Layers of β-Ga2O3 were deposited on GaN and sapphire substrates of various orientations. Produced structures were studied by scanning electron microscopy (SEM), X-ray diffraction (XRD), electroluminescence (EL) and other methods. It was demonstrated that GaN and β-Ga2O3 epitaxial films and heterostructures could be effectively produced by the HVPE method under similar growth conditions using the same growth equipment.

A.2.64
18:00
Authors : T. Ernst1 ,M. Siekacz1,2, G. Staszczak2, T. Suski2, E. Grzanka1,2, I. Gorczyca2, H. Turski2, M. Anikeeva3, T. Schulz3, M. Albrecht3, C. Skierbiszewski1,2
Affiliations : 1 TopGaN Ltd, Sokolowska 29/37, 01-142 Warsaw, Poland; 2 Institute of High Pressure Physics, PAS, Sokolowska 29/37, 01-142 Warsaw, Poland; 3 Leibniz-Institute for Crystal Growth, Max-Born-Str. 2 12489 Berlin, Germany;

Resume : In this paper we investigate the dependence of InGaN barrier thickness on photoluminescence (PL) wavelength emission in In(Ga)N/InGaN short period superlattices (SPSL) grown by PAMBE. The SPSLs were grown on top of (0001) GaN substrates within a temperature range of 650°C - 610°C. The structures consist nominally of 1ML of InN quantum wells (QWs) and 1-40 ML of In0.02Ga0.98N barrier. The properties of In(Ga)N/InGaN SPSL were investigated using low temperature PL, XRD and HRTEM. The real In-content in the QWs obtained by XRD and HRTEM was about 25%. We observed a strong photoluminescence shift form 386 nm for 40 ML thick InGaN barrier up to 456 nm for 1 ML InGaN barrier. HRTEM analysis revealed good structural quality of grown samples with clearly visible 1 ML thick In(Ga)N QW for barrier thickness of 15 ML. We discuss the impact of InGaN barrier width for SPSL PL emission wavelength and compare experimental results with transitions obtained theoretically for SPSL having different barrier thicknesses. Simulations were carried out using band structure calculations in the Local Density Approximation with a semi empirical correction for the gap error. Acknowledgements. This work was supported by the EU SPRING Grant 642574.

A.2.65
18:00
Authors : Yutian Cheng1, Duanjun Cai2, Jiejun Wu1*, Xiangshun Liu1, Xiaohui Feng1, Guoyi Zhang1 , Tongjun Yu1*
Affiliations : 1 Research Center for Wide-gap Semiconductors, State Key Laboratory for Artificial Microstructures and Mesoscopic Physics, School of Physics, Peking University, Beijing 100871, P. R. China 2 Fujian Key Laboratory of Semiconductor Materials and Applications and Department of Physics, Xiamen University, Xiamen 361005, China

Resume : The separation of thick GaN layer from substrate is a key process for manufacturing GaN free standing substrates after HVPE growth. Basically, a self-separation of thick GaN wafer from sapphire substrate is understood as a result of the stress variation and the development of delamination cracks in bi-layer system. The fracture toughness KIC, a parameter relating to the resistance to failure under mechanical loading, shows great importance for wurtzite structure of GaN especially when it has anisotropic properties in m-, a- and c-planes. Furthermore, precondition of crack propagation, which is involved in dynamic processes, should be understood and controlled while the local stress intensity factor beyond its fracture toughness. In this work, the fracture toughnesses of bulk GaN with c-plane, m-plane and a-plane cracks were measured by nanoindentation method for the first time, and first-principles calculations were performed within the framework of density functional theory. Experimental results revealed that the fracture toughnesses along nonpolar m- and a-planes are similar, 1.54 and 1.59 MPa•m1/2, respectively, but significantly smaller than that along c-plane GaN, about 2.11 MPa•m1/2. The calculated fracture energies of different planes are consistent with the experimental results of nanoindentation. The smaller values of fracture toughness in the a- and m-planes mean that cracks easily happen in these planes rather than c plane. Self-separation processes more likely rely on the propagation of c-plane crack, so that restraining the driving force of m- and a-planes cracks becomes to be the key point. We need to control stress changes caused by notches or bending and twisting, both of which lead to stress gradients with the highest stress on the surface, and microscopic stress concentrators, such as micro-grooves due to machining, surface step produced by dislocations as well. These are believed to be effective in offering the driving force for the propagation of cracks. In controlling dynamics processes during the separation of GaN epilayers from sapphire substrate, reducing the defect density in GaN and purposely introducing the c-plane crack sources at the wafer edge are performed. Our results showed that the thickness of crack-free GaN epilayer could increase from 250-300m to 550-600µm when the dislocation density of GaN layers decreased from 8 × 107 to 1 × 106 cm-2. Meanwhile, the polycrystalline GaN deposited around the wafer edge formed c-plane micro-channels along the wafer edge, which become the cracking source of c-plane crack and leading to self-separation during the sample cooling down to room temperature. In conclusion, although the fracture toughnesses along nonpolar m- and a-planes are similar than that of c-plane GaN, the local stress intensity factor along c-plane GaN could beyond its fracture toughness by reducing the defect density and purposely introducing the c-plane crack sources at the wafer edge, which are the key points for the self-separation of thick GaN layer from sapphire substrate.

A.2.66
18:00
Authors : Takashi Hanada 1, Hiroo Tajiri 2, Osami Sakata 3, Tsuguo Fukuda 4, and Takashi Matsuoka 1
Affiliations : 1 Institute for Materials Research, Tohoku University; 2 Japan Synchrotron Radiation Research Institute; 3 National Institute for Materials Science; 4 Fukuda Crystal Laboratory

Resume : ScAlMgO4 (SCAM) has smaller c-plane mismatch to GaN both in lattice and thermal expansion than sapphire. SCAM is stable during MOVPE. 4” bulk SCAM can be grown by Czochralski method. In a GaN film grown on a SCAM substrate, residual strain due to the thermal mismatch was smaller than that on sapphire [DOI 10.1002/pssa.201600754]. Thus SCAM is a promising substrate for the III-nitrides. SCAM has alternate stack of ScO2 and two Al0.5Mg0.5O (AMO) layers along c-axis. It is easily cleaved in c-plane and flat substrate is prepared without polishing. The bond length between the AMO layers is by 0.03 nm longer than that within the AMO layer. Hence the former bonds may be easily broken. However, the bond density between the AMO layers is twice of that between the AMO layer and the ScO2 layer. Therefore, prediction of the cleavage position is not simple. In this paper, the structure of the topmost layer, which is useful information to understand and control initial expitaial growth, of a cleaved SCAM was investigated by the X-ray crystal truncation rod (CTR) scattering method. Simulations at six kinds of cleavage positions along c-axis were examined. As a result, only the surface cleaved between the two AMO layers reproduced the observed results. At this cleavage position, the electric dipole of SCAM is canceled owing to the inversion symmetry around Sc atoms at the center of the c/3 unit. The electrostatic energy is an important aspect to predict the cleavage plane of a compound.

A.2.67
18:00
Authors : Biplab Sarkar, Andrew Klump, Seiji Mita, Pramod Reddy, Erhard Kohn, Ronny Kirste, Ramon Collazo, and Zlatko Sitar
Affiliations : Department of Materials Science and Engineering, North Carolina State University, Raleigh, NC 27695, USA; Adroit Materials, Cary, NC 27518, USA

Resume : In this work, free carrier concentration and contact resistance of p-GaN is investigated and a model for Ni/Au contacts is discussed. It is found that p-GaN grown on AlN substrate provides a free hole concentration of up to 4x1018 cm 3 which is more than an order of magnitude higher compared to p-GaN grown on sapphire substrate. Consequently, a higher hole concentration resulted in a better contact formation with lower specific contact resistivity (ρC) on p-GaN grown on AlN substrate. The wide range of achievable carrier concentration is used to investigate the contact formation in p-GaN. It is found that in general ohmic contacts to GaN are alloyed contacts. Thus, the alloyed contact model developed for GaAs in the 1980’s is applied to describe Ni/Au ohmic contacts on Mg-doped p-type GaN, analyzed by planar TLM structures. This was based on the observation of three distinct characteristics: (i) a high current injection efficiency under forward bias resulting in current crowding and an associated semiconductor spreading resistance proportional to the inverse free carrier concentration in the bulk, (ii) a residual barrier of the transfer site with weak reverse blocking characteristics, and (iii) a free carrier dependent reverse breakdown behavior. Based on this model a pathway for better p-GaN contacts is proposed which includes an optimization of the size/density of the clusters.

A.2.68
18:00
Authors : Milena B. Graziano(1), Randy P. Tompkins(1), Baxter Moody (2), James Tweedie(3), Ramon Collazo(4), Zlatko Sitar(4), and Kenneth A. Jones(1)
Affiliations : (1) - Sensors and Electron Device Directorate, Army Research Laboratory, 2800 Powder Mill Rd., Adelphi, Maryland 20783 (2) - Hexatech, Inc., 991 Aviation Pkwy, Suite 800, Morrisville, North Carolina 27560 (3) - Adroit Materials, Inc., 2054 Kildaire Farm Rd., Suite 205, Cary, North Carolina 27518 (4) - Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695

Resume : Low dislocation density AlN substrates provide a suitable match for high Al-content AlGaN alloys. Yet, alloy films grown on AlN exhibit notable residual compressive strain. Due to the lack of dislocations at the growth interface and the absence of resolved shear stress needed for dislocation formation, elastic deformation occurs. We report on the observation of elastic relaxation mechanisms on 800nm AlGaN films deposited on c-oriented AlN substrates grown by metalorganic chemical vapor deposition and physical vapor transport, respectively. X-ray diffraction revealed the AlGaN films had a 71% Al-content and were tilted with respect to the offcut AlN surface by 0.004°, satisfying Nagai’s tilt model for strained films. (101 ̅5) reciprocal space mapping exhibited no horizontal shift in the AlGaN and AlN reciprocal lattice points (RLPs), confirming the films are pseudomorphic. The AlGaN film tilt produces the selective visibility of AlGaN thickness fringes and changes in AlGaN RLP shape as the sample is rotated in-plane with respect to the X-ray incident beam. A 10-12m radius of curvature was measured for the AlN wafer, observed to be non-spherical using profilometer scans. Optical imaging revealed numerous hillocks, which contributed a secondary AlGaN Bragg peak that corresponded to a lower Al-content. All results indicate there is no controllable biaxial strain relaxation within the heterostructure, a critical limitation for AlGaN-based devices on AlN substrates.

A.2.69
18:00
Authors : François Médard, Elissa Roche, Geoffrey Avit, François Réveret, Dominique Castelluci, Yamina André, Agnès Trassoudaine, Joël Leymarie
Affiliations : Université Clermont Auvergne, CNRS, Institut Pascal, F-63000 CLERMONT-FERRAND, FRANCE

Resume : Gallium nitride (GaN), indium nitride (InN) and their ternary alloys (In,Ga)N cover a large range of wavelength from UV to IR. Thus they are widely used to realize devices for light emission (LEDs) or conversion (photovoltaic cells). However, due to the lack of suitable substrates, their efficiencies are still low at wavelength higher than 500 nm (the green gap). In the past few years, nitrides micro- or nano-wires have emerged as promising substrates for the growth of (In,Ga)N active layers: these structures favored strain relaxations thanks to free lateral surfaces and reduced electric field as they naturally possess non-polar planes. Recently, we have proposed to use GaN micro-wires grown by selective area growth hydride vapor phase epitaxy (SAG-HVPE) on silicon as a substrate for (In,Ga)N quantum wells (QW) regrowth [1]. In this work, we present the optical characterization of GaN micro-wires, especially the influence of the polarity on their emission properties. We have combined micro-reflectivity (µR) and micro-photoluminescence (µPL) experiments to show that single wires are unstrained with a state-of-the-art residual inhomogeneous broadening of 3 meV at low temperature. Besides, the wires contain both Ga and N polarity domains: we have used µPL mapping correlated with SEM images to identify the domains. The Ga-polar areas are dominated by excitonic transitions whereas the N-polar ones are highly doped. [1] Avit G. et al. – Cryst. Growth Des. 16 – 2509 (2016)

A.2.70
18:00
Authors : Sebastian Tamariz, Denis Martin, Nicolas Grandjean
Affiliations : Institute of Physics, École Polytechnique Fédérale de Lausanne (EPFL), CH-1015 Lausanne, Switzerland

Resume : GaN quantum dots (QDs) on AlN formed by the so-called Stranski-Krastanov (SK) growth mode have shown outstanding optical features: they can span the range of energies from the deep UV to the IR, they are capable of single photon emission and are robust emitters up to temperatures above 300K. Previous studies have shown the importance of the morphology, strain state and threading dislocation density (TDD) of the underlying AlN on the QDs. It has been shown that step bunching in the AlN can induce a bimodal distribution of heights and diameters. Furthermore, depending on the strain state of the AlN the critical thickness of GaN for the formation of the QDs can vary from 2.1 to 3.0 monolayers (MLs). Finally, SK-QDs have been shown to preferentially nucleate at threading dislocations, which would impact their optical properties. In the present study, we use AlN single crystal wafers in order to remove the aforementioned issues. The single crystal wafers exhibit atomically flat surface and have a very low TDD (10^3 cm^-2). The GaN QDs are grown using ammonia molecular beam epitaxy. The growth procedure consists of depositing a few MLs of GaN and to play on the surface energy (V/III ratio) to favor the elastic energy relaxation via 3D island formation Results on GaN QDs on single crystal substrate will be compare to GaN dots synthesized on AlN templates.

A.2.71
18:00
Authors : 1) Evan A. Clinton, Ehsan Vadiee, Chloe A.M. Fabien, Joseph J. Merola, W. A. Doolittle* 2) Alec M. Fischer, Yong O. Wei, Hongen Xie, Fernando A. Ponce
Affiliations : 1) School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30332, USA 2) Department of Physics, Arizona State University, Tempe, AZ 85281, USA

Resume : Low indium content LEDs and GaN electronics utilize thick buffer layers that allow dislocation annihilation resulting in threading dislocation densities to be reduced to ~10^8 cm-2. However, the high lattice mismatch between high indium content In(x)Ga(1-x)N and GaN severely limits devices with In(x)Ga(1-x)N films requiring either large fractions of indium (green/red LEDs), or thicknesses beyond the critical thickness of relaxation (>few tens of nanometers as in solar cells and photodetectors). Thus, there exists a demand for high quality, thick (few microns), relaxed In(x)Ga(1-x)N templates that annihilate threading dislocations and thus reduce defect densities. Growth of thick (multiple µm) and fast (>2 µm/hour) In(x)Ga(1-x)N films with indium content 0.15 < x < 0.50 are investigated with plasma-assisted molecular beam epitaxy. In(0.25)Ga(0.75)N films of increasing thickness display an evolution of strain relaxation with thickness as observed by the reduction of TEM resolved dislocations and x-ray diffraction FWHM as the film relaxes. Numerous buffer layers are employed to help relieve strain while still providing smooth surfaces suitable for further growth. Additionally, a superlattice In(0.25)Ga(0.75)N/InN approach with average x = 0.32 is taken and exhibits promising XRD results and degree of relaxation, as well as a 5x5 µm RMS roughness of 1.1 nm as measured by atomic force microscopy.

A.2.72
18:00
Authors : Franziska C. Beyer1, Friederike Zimmermann1, Christian Röder2, Mykhailo Barchuk3, Gleb Lukin4, Tom Schneider4, Olf Pätzold4, Johannes Heitmann1
Affiliations : 1Institute of Applied Physics, TU Bergakademie Freiberg, Leipziger Str. 23, D-09599 Freiberg, Germany; 2Institute of Theoretical Physics, TU Bergakademie Freiberg, Leipziger Str. 23, D-09599 Freiberg, Germany; 3Institute of Materials Science, TU Bergakademie Freiberg, Gustav-Zeuner-Straße 5, D-09599 Freiberg, Germany; 4Institute for Nonferrous Metallurgy and Purest Materials, TU Bergakademie Freiberg, Leipziger Str. 34, D-09599 Freiberg, Germany

Resume : Micrometer thin GaN layers grown by high temperature vapour phase epitaxy (HTVPE) [1] on sapphire were analysed in respect to their defect formation, in-plane stress and crystal quality. The HTVPE process may be an alternative to GaN template fabrication by metalorganic vapour phase epitaxy (MOVPE). After a pre-treatment of the substrate, a thin GaN nucleation layer was deposited and recrystallized. Subsequently, this layer was overgrown by 2-3 µm GaN under various growth conditions. We present the optical, electrical and structural characterisation of these layers. An exemplary FWHM of the symmetrical X-ray 002 reflex was determined to 274'', which is comparable to similar MOVPE layers. Raman spectroscopic measurements were performed at room temperature in order to estimate the in-plane stress state analysing the spectral position of the non-polar E2(high) Raman mode. A compressively stressed state was detected. Photoluminescence spectra recorded at 15 K show the near band edge emission, the ultraviolet luminescence (UVL) and luminescence related to iron. For electrical measurements, ohmic contacts using GaIn paste and circular Ni Schottky contacts were deposited on the sample. The net doping concentration was determined by capacitance voltage measurements at room temperature to be in the mid 10^15 cm-3 range. Deep defect levels were studied using deep level transient spectroscopy measurements as well as optical excitation to screen the existence of hole traps. Impurities were analysed in order to discuss possible sources of UVL and deep levels in HTVPE-GaN. [1] G. Lukin et al., physica status solidi (c), 11, 491 (2014).

A.2.73
18:00
Authors : R. Blasco1, A. Núñez-Cascajero1, E. Monroy2,3, M. González-Herráez1, F. B. Naranjo1, and S. Valdueza-Felip1
Affiliations : 1 University of Alcalá (GRIFO), Madrid-Barcelona Road, km 33.6, 28871 Alcalá de Henares, Spain 2 CEA-Grenoble, INAC/PHELIQS, 17 rue des Martyrs, 38054 Grenoble, France 3 University Grenoble Alpes, 38000 Grenoble, France

Resume : Radio-frequency sputtering is a powerful and low-cost technique for the deposition of low-temperature AlInN for application in photovoltaics and sensors. We present a study of the effect of the growth temperature (room temperature RT, 100 and 300ºC) on the material properties of AlxIn1-xN (x~ 0.36, ~380 nm) layers deposited by RF sputtering on Si(111), sapphire and glass. The rest of deposition parameters were fixed in light of previous results on AlInN deposited on Si(111)1 and saphire2 at temperatures between 300 and 550ºC. X-ray diffraction results show wurtzite structure oriented in the c-axis for all samples. Further analysis of the rocking curve around (0002) diffraction peak reveals that samples grown at RT and 100°C present similar mosaicity, with a full width at half maximum ~6º. The crystal quality is improved by increasing the growth temperature to 300°C, which leads to a rocking curve broadening of 23º. A closely-packed columnar structure is obtained at low growth temperature for all substrates, while the morphology evolves to compact when growing at 300ºC on silicon. In all cases the surface roughness remains below 6 nm. Optical transmission spectra measured in layers deposited on sapphire and glass point to an absorption band edge ~2.4 eV. The quality of the layers allows their applications to devices with low temperature requirements. 1A. Núñez-Cascajero et al. Jpn J. Appl. Phys. 55, 05FB07, 2016 2A. Núñez-Cascajero et al. J. J. Phys. D: Appl. Phys. 50, 065101, 2017

A.2.74
18:00
Authors : Henryk Turski1, Grzegorz Muziol1 2, Marcin Siekacz1, Pawel Wolny1, Anna Feduniewicz-Zmuda1, and Czeslaw Skierbiszewski1
Affiliations : 1Institute of High Pressure Physics, Polish Academy of Sciences, Sokolowska 29/37, 01-142 Warsaw, Poland, 2Department of Electrical and Computer Engineering, Cornell University, Ithaca, NY 14853 USA; 3Top GaN Ltd Sokolowska 29/37, 01-142 Warsaw, Poland

Resume : Plasma-assisted molecular beam epitaxy (PAMBE) offers an interesting alternative to metal organic vapor phase epitaxy in the growth of Mg-doped layers because p-type conductivity does not need to be activated after the growth and can be realized at much lower growth temperatures. Those facts enable the use of PAMBE-grown samples for devices like long wavelength light emitters but also for structures were p-type layers lay buried deeper in the structure. Up to now, lots of work has been devoted to explore the dependence of Mg doping incorporation on the growth temperature but little is known about how it is affected by other growth parameters and alloy composition. In this work, doping of Ga(Al)N layers by PAMBE in Ga-rich conditions on c-plane bulk GaN substrates was studied. Layers doped with Mg or Si grown using different atomic fluxes at growth temperature around 750oC were compared. No change in Mg concertation was observed for layers grown using different growth rates and the same Mg flux, while Si concentration in control layers for constant Si flux decreased like inverse growth rate. This effect enables the growth of Ga(Al)N:Mg layers at high temperatures with high growth rates, leading to shorter growth time and lower residual background doping, without the need of increasing Mg flux. Enhancement of Mg incorporation for Al containing layers was also observed. Possible origins of the unusual depences of Mg incorporation into Ga(Al)N:Mg layers will be discussed.

A.2.75
18:00
Authors : 1) Evan A. Clinton, Ehsan Vadiee, Brendan P. Gunning, Chloe A.M. Fabien, Joseph J. Merola, W. A. Doolittle* 2) Alec M. Fischer, Shuo Wang, Fernando A. Ponce
Affiliations : 1) School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30332, USA 2) Department of Physics, Arizona State University, Tempe, AZ 85281, USA

Resume : Both Ga-polar and N-polar Mg-doped p-type GaN films are grown on AlN buffers on sapphire via the metal modulated epitaxy (MME) growth technique. Using an optimal III/V ratio, Ga-polar and N-polar films are Mg-doped to achieve hole concentrations spanning from ~5x10^17 cm^-3 to ~2x10^19 cm^-3. Mg activation efficiencies and thus hole concentrations are similar for both polarities of GaN. Three conduction mechanisms are examined via temperature-dependent Hall measurements: valence band conduction, impurity band conduction, and conduction in the two-dimensional hole gas (2DHG) at the AlN/GaN interface for the Ga polar films. Although thinner Ga-polar films demonstrate a large percentage of 2D conduction within the 2DHG due to the polarization-induced electric field at the interface, valence band and impurity band conduction begins to dominate as the film is grown thicker and this is verified by the lack of a 2DHG in N-polar films. Atomic force microscopy, x-ray diffraction, photoluminescence, and Hall data are compared for both polarities of films. Additionally, this MME growth technique can be extended to grow up to 27% Al AlGaN with hole concentrations above 2x10^19 cm^-3. Both metal polar p-GaN and p-AlGaN demonstrate PL peaks that intercept the valence band which indicates an acceptor band and Mott-insulator transition for highly doped films. Finally, hole degeneracy is confirmed for a Ga-polar quantum well light emitting diode submerged in liquid nitrogen at 77K.

A.2.76
18:00
Authors : Varun Gupta, Mukundkrishna Raghunathan, Anoop Somanchi, Thomas Pierson
Affiliations : Candela, KLA-Tencor Corporation, Milpitas, California, U.S.A.

Resume : High breakdown voltage and high electron mobility of gallium nitride (GaN) has made it an ideal candidate for high-power and high-temperature applications such as microwave radio-frequency power amplifiers and high-voltage switching devices for power grids. GaN field-effect transistors (FETs) built by growing a thin epitaxial layer of GaN on silicon (Si) substrate have developed into one of the most cost efficient, high performance FETs, making it exceedingly important in High Electron Mobility Transistor (HEMT) industry. Growing GaN epitaxy on Si substrate wafer has its own challenges. The large mismatch in the lattice constant and the thermal expansion coefficient between GaN and the Si substrate causes high lattice stress which leads to cracking on and through the GaN epitaxy layer, making parts of the wafer unsuitable for FET production. Cracking on GaN layer can be minimized by using suitable buffer layer and optimizing the epitaxy reactor conditions. Improper epitaxy reactor conditions may also cause other device reliability killer defects like micropits, craters, epi droplets and/or hex-bumps on the GaN layer. The current study discusses how multiple complementary techniques like scaterometry, reflectometry, ellipsometry and photoluminescence could be used together for simultaneous detection and classification of multiple critical defects on GaN epitaxial layer. It also shows how feedback from defect inspection equipment can be used to monitor and optimize the performance of Metal-Organic Chemical Vapour Deposition (MO-CVD) reactor during GaN epitaxy process.

A.2.77
18:00
Authors : Mel F. Hainey, Jr.1, Zakaria Y. Al Balushi1, Ke Wang2, Nathan C. Martin1, Dr. Joan M. Redwing1
Affiliations : 1. Department of Materials Science and Engineering, Materials Research Institute, Penn State University, University Park, PA 16802 2. Materials Characterization Laboratory, Materials Research Institute, Penn State University, University Park, PA 16802

Resume : Group III-nitride epitaxy on glass is of interest for LED and optoelectronic applications, but previous efforts have required extensive pre-growth processing and produced textured films with small grain sizes. A (111) silicon seed layer formed by aluminum-induced crystallization (AIC) presents a promising alternative. In this process, aluminum is deposited on glass and then exposed to air to form a thin native oxide. Amorphous silicon is then deposited over the oxide and the stack is annealed at temperatures below the Al/Si eutectic to form textured polycrystalline silicon on the amorphous substrate. For sub-50 nm Al and Si thicknesses, films with uniform Si(111) orientation and grain sizes of 40-50µm are formed which serve as the seed layer for III-nitride heteroepitaxy. MOCVD growth of a 200 nm AlN buffer layer and a ~2 µm GaN layer produced films with fully coalesced regions up to 1mm2. The AlN buffer layer is columnar, and overgrows regions of the substrate where Si films have not fully coalesced, while the GaN film is c-axis oriented with grains approximately 40-50 µm in size, containing primarily mixed a+c threading dislocations and bounded by high angle grain boundaries. The silicon seed layer contains mostly in-plane stacking faults and twins, resulting in limited defect propagation into the AlN and GaN layers. These results demonstrate that Si seed layers can promote large-area textured GaN growth on glass, with further improvement of film quality still possible.

A.2.78
18:00
Authors : H.S. Craft, R.F. Dalmau, J. Britt, R. Schlesser
Affiliations : HexaTech, Inc.

Resume : Demand for bulk AlN substrates has steadily increased in the past several years, due to the emergence of markets requiring deep-UV emitting optoelectronic devices or power-handling devices requiring high breakdown fields and/or high-temperature operation. Only a native substrate unlocks the expected performance gains from next-generation devices, by providing a lattice match with high Al-content AlGaN epilayers, UV transparency, high resistivity, and high thermal conductivity. HexaTech’s substrate products represent the state of the art in bulk AlN. In this presentation, we will discuss AlN bulk growth at HexaTech, in terms of intrinsic material parameters and their manifestations in a final substrate product. X-ray rocking curve mapping and reflection topography show uniform and high crystal quality, with rocking curve full width at half maximum values regularly below 20 arc seconds for (002) and (102) reflections. Crossed-polarization imaging further confirms crystal uniformity, showing no strain- or misorientation-related defects. Substrates produced from such material have clear advantages over using non-native substrates such as sapphire, but achieving the requisite surface quality needed for epi-ready surfaces is not trivial. The CMP process developed at HexaTech produces surfaces with etch pit densities comparable to the bulk material (~103 cm-2), and AFM scans show surfaces free of scratches, indicating the production of an epi-ready surface free of subsurface damage.

A.2.79
18:00
Authors : Chris Youtsey, Robert McCarthy
Affiliations : MicroLink Devices, Inc.

Resume : Group-III nitrides are notoriously difficult to wet etch, since the strong bonding between atoms in the crystal that produces a large bandgap also reduces chemical reactivity. Ultraviolet illumination can enable photoelectrochemical (PEC) wet etching of GaN, in which the photogeneration of electron-hole pairs facilitates the oxidation and dissolution of the semiconductor. PEC wet etching has been widely investigated for patterning of nitride materials as well as for selective etching of defects. High-quality native GaN substrates are increasingly available, driven by demand in the optoelectronics industry. Bulk GaN substrates with low dislocation density can improve the performance of high brightness LEDs and lasers and enable new categories of vertical high-power devices such as diodes and transistors. Bulk GaN substrates also present opportunities for exploring new approaches for device processing, including wet etching. Deep etching on the scale of hundreds of microns is widely used in Si as well as GaAs to form through-substrate vias and the fabrication of microelectromechanical structures. Although dry etching is commonly used for GaN device fabrication, slow etch rates and poor mask selectivity limit the etch depths that can be achieved. This presentation will summarize our recent work to develop low-cost, high-throughput approaches based on photoenhanced wet etching to form deep structures in bulk GaN materials that will be enabling for new generations of GaN devices.

A.2.82
18:00
Authors : Tomohiro Inaba, Takanori Kojima,Yasufumi Fujiwara
Affiliations : Graduate School of Engineering, Osaka University, Japan

Resume : Photonic crystals (PhCs) receive much attention for realizing low-threshold laser diodes (LDs). In order to fabricate the PhCs, a sacrificial layer is necessary, which should be removed by wet etching and grown enough thick. Among the III-Nitrides, Al0.82In0.18N is one of the candidates. However, there are no reports on thick and high quality Al0.82In0.18N due to the segregation of In droplets. We have focused on Eu-doped GaN (GaN:Eu) for developing red LDs with the PhCs. In this contribution, we report on successful growth of thick and high quality Al0.82In0.18N by temperature-modulation epitaxy (TME), which is significantly important for the fabrication of the PhCs. We calculated the dependence of Q-factor for a two-dimensional (2D)-PhC on the thickness of an air clad by the finite difference time domain (FDTD) method. When the air clad is 600 nm in thickness, the Q-factor is almost equal to that of a free-standing 2D-PhC. Therefore, two 600 nm-thick Al0.82In0.18N layers were prepared by different growth sequences; (a) continuous growth at 835°C and (b) TME. In the TME, after the growth of a 75 nm-thick Al0.82In0.18N layer at 835°C, a few nm AlGaN was grown at that temperature. Then, the growth temperature was raised to 1100°C, a few nm AlGaN was grown again at 1100°C and the temperature was dropped back to 835 °C. This sequence was repeated 6 times. AFM observation revealed that the RMS was 7.15 and 0.17 nm in the samples (a) and (b), respectively. In the sample (b), atomic steps were obviously observed. In XRD measurements using w-2q scan, weak peaks corresponding to Al0.85In0.18N and Al0.8In0.2N, and a broad peak between the peaks were observed in the sample (a), indicating that there was nonuniformity in In composition. In the sample (b), the peak of Al0.82In0.18N and some fringes were observed. These results indicate the TME improves the surface morphology and compositional uniformity of AlInN due to the removal of In droplets.

A.2.83
18:00
Authors : Shunsuke Okada, Hideto Miyake, Kazumasa Hiramatsu
Affiliations : Department of Electrical and Electronic Engineering, Mie University, Tsu, Japan ; Graduate School of Regional Innovation Studies, Mie University, Tsu, Japan

Resume : We have reported the high-temperature thermal annealing (HT-annealing) of AlN films on sapphire in N2-CO or N2 ambient [1, 2]. The surface morphology and crystallinity are significantly improved by the HT-annealing. Nevertheless, compressive stress is generated by HT-annealing, which may lead to substrate bowing. In this work, we studied the substrate curvature during thermal annealing by in situ monitoring of curvature and refrectance. AlN films were grown on c-plane sapphire by RF sputtering. We prepared two kinds of samples without and with HT-annealing (HT-AlN) in N2 ambient at 1700 oC. Subsequently, these samples were thermally annealed at 1350 oC by changing reactor ambient using MOVPE apparatus with in situ reflectance and curvature monitoring system. The substrate bowing was calculated from the curvature value. In the case of AlN without annealing (sp-AlN), the curvature value at 1350 oC was decreasing during thermal annealing in N2 NH3 mixture gas whereas that was almost no change for sp-AlN annealed in N2 ambient. and HT-AlN in N2 NH3 mixture gas was also constant. The reflectance at 1350 oC was constant during thermal annealing for the samples annealed in N2 ambient. However, the reflectance at 1350 oC for the samples annealed in N2 NH3 mixture gas decreased during thermal annealing. The surface roughness annealed in N2 NH3 ambient became poor. [1] H. Miyake et al., Appl. Phys. Express 9 (2016) 025501. [2] H. Miyake et al., J. Crystal growth 456 (2016) 155.

A.2.84
18:00
Authors : Yikang Liu1*, Hideto Miyake1,2, Kazumasa Hiramatsu1, Motoaki Iwaya3, Isamu Akasaki3
Affiliations : 1Department of Electrical and Electronic Engineering, Mie University, Japan 2Graduate School of Regional Innovation Studies, Mie University, Japan 3Faculty of Science and Technology, Meijo University, Japan

Resume : Aluminum nitride (AlN) is an attractive material for UV-LED and high-frequency power devices. Hydride vapor epitaxy (HVPE) is promising for fabrication of free-standing AlN substrate with low dislocation density and impurity. Recently some groups reported the crystallinity`s improvement of sputtered AlN films on sapphire through high temperature annealing. In this study, we performed HVPE homoepitaxy on the annealed AlN template and investigated surface treatment before epitaxy. Sputtered AlN films with a thickness of 200 nm were annealed at 1700 degree for 3 hours. The typical FWHMs of (0002) and (10-12) were 50 and 250 arcsec, respectively. Then we performed HVPE growth at the temperature of 1550 oC, the growth time was 30min or 1 hour. The thickness for the 30 min growth was around 7 um without cracks and the FWHMs of XRC for (0002) was 55 arcsec and that of (10-12) was 273 arcsec. The atomic force microscope (AFM) images of the AlN films showed smooth with atomic steps. As for the 1 hour growth, the FWHMs of (0002) and (10-12) were 52 and 332 arcsec, respectively. The AFM images also showed the atomic smooth. However for the 1 hour growth cracks also occurred at the surface when the thickness is over 14 um. To solving this problem of cracks, we are considering introducing thermal treatment process with ammonia ambient to form voids between the interface of sapphire and AlN then apply homoepitaxy to gain a crack-free thick AlN film with high crystallinity.

A.2.85
18:00
Authors : Alexander Chaney, Huili (Grace) Xing, Debdeep Jena
Affiliations : Cornell University Ithaca NY 14850

Resume : N-polar GaN nanowire heterostructures are desired for ultra-low-power tunneling field-effect transistors (TFETs) that promise to beat the Boltzmann limit of electronic switching transistors. In this work, the impact of various growth parameters on selective area growth (SAG) of GaN nanowires by plasma-assisted molecular beam epitaxy (PAMBE) is discussed. Masks were prepared by Ebeam-holes in 7nm Ti on bulk N-polar GaN. By varying the substrate temperature, highly selective nanowire growth was found to occur for substrate temperatures near 915 C. When the growth temperature was lowered to 880 C, all selectivity was lost. Increasing the growth temperature to 925 C led to incomplete wire formation. Adjusting the V/III ratio provided control over the lateral growth rate of the nanowires. The smallest lateral growth was observed for a V/III ratio of 12, resulting in a lateral growth rate of 1 nm/hr and a wire diameter of 72nm. Smaller V/III ratios translated to higher lateral growth rates, with a ratio of 6 having the largest rate at 30 nm/hr and a wire diameter of 89nm. For all V/III ratios, wire height remained unchanged at 105nm. PL spectra after growth had a single peak at 3.4 eV with a FWHM of 69 meV. In conclusion, PAMBE SAG of GaN nanowires down to 72 nm in diameter was achieved on N-polar bulk GaN substrate with a 7nm Ti mask. These growth conditions can now be developed to include p- and n-type doping as well as InGaN wire growth for the realization of vertical TFETs.

A.2.86
18:00
Authors : Ievgen Boturchuk (1), Leopold Scheffler (2), Raghavendra Rao Juluri (1), Bjarke Rolighed Jeppesen (1), and Brian Julsgaard (1,2).
Affiliations : 1: Interdisciplinary Nanoscience Center (iNANO), Aarhus University, Gustav Wieds Vej 14, 8000 Aarhus C, Denmark. 2: Department of Physics and Astronomy, Aarhus University, Ny Munkegade 120, 8000 Aarhus C, Denmark.

Resume : For more than 20 years, electrical studies of defects in GaN have mainly been done using ohmic contacts prepared according to conventional Ti-based scheme. This scheme implies annealing at a temperature of about 800°C which, apart from possible structural changes of GaN, could cause changes in the distribution, concentration, and properties of observed defects. The present work aims to contribute to our knowledge about defect evolution upon processing at different temperatures. Electrically active defects are studied in commercially available, state-of-the-art, MOCVD grown, n-type GaN on SiC from CREE. Different ohmic contacts are deposited to investigate the influence of different processing temperatures on the defects. The processing temperatures are: 300°C (In-based), 550°C (Ta-based), and 800°C (Ti-based). In order to elucidate any influence resulting from the different metallization used, Indium with local heating to 300°C was deposited on the samples treated at 800°C, 550°C, and 300°C for 5 min. Properties of electrically active defects are studied by means of Deep Level Transient Spectroscopy (DLTS). The concentration is profiled by Differential DLTS. Changes in carrier capture kinetics are monitored with varying filling pulse duration.

A.2.87
18:00
Authors : Christoph Margenfeld1, Jana Hartmann1, Hao Zhou1, Sönke Fündling1, Hendrik Spende1, Hergo-Heinrich Wehmann1, Hans-Jürgen Lugauer2, Martin Straßburg2, Marc Patrick Hoffmann2, Adrian Avramescu2, Andreas Waag1
Affiliations : 1Institute of Semiconductor Technology and Laboratory for Emerging Nanometrology, Technische Universität Braunschweig, 38092 Braunschweig, Germany, epitaxy competence center ec2, Hans-Sommer-Straße 66, 38106 Braunschweig, Germany; 2Osram Opto Semiconductors GmbH, Leibnizstraße 4, 93055 Regensburg, Germany

Resume : Commercial deep ultraviolet (DUV) LEDs grown on c-plane sapphire substrates still exhibit inferior external quantum efficiencies compared to blue InGaN-based LEDs, despite major improvements in the past years. Two major issues contributing to this are low internal quantum efficiencies (IQE) and light extraction efficiencies (LEE). As IQE is highly dependent on the presence of extended defects in the active layer, decreasing the threading dislocation density is desirable. The occurrence of the quantum-confined Stark effect in c-plane devices is further inhibiting high IQEs. 3D microstructures offering virtually dislocation-free material and nonpolar crystal facets were proposed to circumvent these issues for InGaN-based LEDs [1]. For DUV applications, it is even more appealing to grow on nonpolar crystal facets due to light polarization switching to E || c, i.e. TM mode in c-plane LEDs, for increasing aluminium contents in AlGaN. Light emission from nonpolar crystal facets occurs in TE mode, thus offering potentially high LEE [2,3]. GaN-microfin architecture is adapted as a platform for AlGaN growth featuring nonpolar crystal facets. We study the growth mechanism with respect to diffusion of the aluminium species and optimize growth conditions for subsequent manufacturing of LEDs. [1] J. Hartmann et al., Cryst. Growth Des. 16(3), 1458, 2016 [2] J. Bhattacharyya et al., Phys. Status Solidi B 246(6), 1184, 2009 [3] R. G. Banal et al., Appl. Phys. Lett. 105, 53104, 2014

A.2.88
18:00
Authors : Nirupam Hatui, A. Azizur Rahman, Carina B Maliakkal, Ashish Arora, Arnab Bhattacharya
Affiliations : DCMP&MS, Tata Institute of Fundamental Research, Mumbai

Resume : In group III-nitrides, the valence band near the Γ point of the Brillouin zone is split into three closely-spaced sub-bands of different symmetries (typically Γ9 ,Γ7+ and Γ7-) due to the crystal-field and spin-orbit interactions. For AlN the topmost valence band has Γ7 symmetry, unlike that for InN or GaN which has Γ9 symmetry. This results in different optical transition selection rules for AlN when compared to GaN or InN, using linearly polarized light. An anisotropic in-plane strain in the expitaxially grown films leads to two orthogonally-polarized excitonic transitions. For a-plane Al(x)Ga(1-x)N or Al(x)In(1-x)N alloys, the valence bands participating in these resonances are expected to approach each other with decreasing Al composition, eventually leading to a crossover. This has been confirmed by us using k⋅p perturbation theory based calculations, which show an excitonic crossover at x ~ 0.1 for Al(x)Ga(1-x)N. For experimental verfication a-plane Al(x)Ga(1-x)N epilayers over the entire Al composition range were grown on r-plane sapphire via MOVPE. The polarized excitonic transitions were resolved using transmission spectroscopy measurements, and the crossover composition was found to be in agreement with the calculations incorporating the measured anisotropic in-plane strain. Similar work for Al(x)In(1-x)N alloys is under progress. The detailed results for a-plane (Al,Ga)N and (Al,In)N will be presented along with a discussion of the strain-dependent band structure calculations.

A.2.89
18:00
Authors : Guoqiang Ren, Jiangfeng Wang, Xujun Su, Yumin Zhang, Tengkui Li, Ke Xu
Affiliations : Suzhou Institute of Nano-tech and Nano-bionics, Chinese Academy of Sciences; Suzhou Nanowin Science and Technology Co., Ltd.

Resume : HVPE growth of GaN crystals on ammonothermal seeds and ammonothermal growth of GaN crystals on HVPE seeds are presented. It is shown that GaN substrates obtained by ammonothermal method can be successfully used as seeds with epitaxial grade surface for the HVPE crystal growth, and when HVPE substrates serve as ammonothermal growth seeds, a polished surface is not required. Advantages and disadvantages of both methods crystal growth on seeds of each other were also shown.

A.2.90
18:00
Authors : Yutian Cheng1, Jiejun Wu1*, Boyu Dong2, Bingliang Guo2, Xiaohui Feng1, Guoyi Zhang1, Tongjun Yu1*
Affiliations : 1. Research Center for Wide-gap Semiconductors, State Key Laboratory for Artificial Microstructures and Mesoscopic Physics, School of Physics, Peking University, Beijing 100871, P. R. China 2. North Microelectronics Corporation, Beijing 100176, P. R. China

Resume : A magnetron sputtered AlN/GaAs template was used for growing GaN by HVPE. The low-temperature (LT) AlN layer serves as a protective layer for GaAs substrate and a buffer layer for depositing GaN in the early stage of the high-temperature GaN growth. After growth, the GaN crystal was self-separated from the GaAs substrate for the decomposition of GaAs at the growth temperature. A secondary ion mass spectrometry (SIMS) measurement revealed that doping with an As concentration about 3×1017 cm-3 was achieved and no additional peaks from As-related secondary phases or impurities appear in the XRD ω-2θ scan patterns. The PL results indicate that the optical quality of the GaN crystal on the AlN/GaAs template was improved and the yellow luminescene was restrained significantly. In order to investigate the reason of the suppression of yellow luminescence, the CL spectra with different exposure time were carried out in GaN on sapphire and GaN on AlN/GaAs template. Meanwhile, Raman spectra revealed the peaks of P2 (approximately 300 cm−1) assigned to VGa and P4 (approximately 420 cm−1) assigned to LVMs due to N or Ga vacancies were weaker in GaN on AlN/GaAs template. When the As concentration was increased to 1×1019 cm-3, blue emission was observed, which was emitted from As replace Ga. From above discussions, the reason of the suppression of yellow luminescence is As replace Ga and the concentration Ga vacancy was decreased.

A.2.92
18:00
Authors : Matthew B. Jordan1, Muhammad Arif2, Suresh Youssef El Gmili1, Suresh Sundaram1, Xin Li1, Gilles Patriarche3, Paul L. Voss4, Jean Paul Salvestrini5, Abdallah Ougazzaden4
Affiliations : 1CNRS, UMI 2958 Georgia Tech - CNRS, 57070 Metz, France; 2Universite de Lorraine, Centrale Supélec, LMOPS, EA4423, 57070 Metz, France; 3CNRS, UPR LPN, Route de Nozay, 91460 Marcoussis, France; 4Georgia Institute of Technology, UMI 2958 Georgia Tech - CNRS, 57070 Metz, France; 5Universite de Lorraine, Centrale Supélec, LMOPS, EA4423, 57070 Metz, France

Resume : There is a trade-off between InGaN absorber quality and p-GaN morphology when making InGaN/GaN heterojunction solar cells using MOVPE. Using cross-sectional TEM and electron beam induced current (EBIC) measurements we show this trade-off. Furthermore, the low-temperature p-GaN surface used to preserve the InGaN quality exhibits a morphology with a high v-pit density. It has already been reported that InGaN/GaN solar cells with a high v-pit density can have an enhanced short circuit current density, Jsc, due to light trapping within the solar cell. We have extended this analysis using SEM, EBIC, and illuminated current-voltage measurements. We confirm the Jsc enhancement in samples with a high density of v-pits. However, using EBIC we can examine the current collection surrounding the v-pits and find other mechanisms for the Jsc enhancement. We postulate that defect gettering at the v-pits results in a defect depleted zone surrounding them that extends the space charge region. The larger space charge region can explain the increased collection efficiency surrounding the v-pits and the superior Jsc of InGaN solar cells with v-pits. Additionally, we correlate the density of deep v-pits, those large enough to reach the absorber region, with a decrease of the open circuit voltage, or Voc. This effect is similar to that of metal contacts interacting with threading dislocations in GaN based laser diodes to form a low-resistance short circuit pathway around the active region.

A.2.93
18:00
Authors : Shane Chang, Tung Loung ,Li Chang
Affiliations : National Chiao-Tung University

Resume : 3D GaN island growth was first introduced under the high growth pressure in metalorganic chemical vapor deposition, followed by 2D growth to form a smooth surface. X-ray diffraction shows a high-quality GaN film can be achieved Cross-sectional results in bending of the threading dislocations (TDs) and form dislocation loops thus producing a 1.2 m-thick GaN with a low threading dislocation density of 2.5 x108 cm-2. The mechanism and the role of maskless GaN islands were studied and discussed. The maskless GaN islands not only successfully reduce the TDs in the GaN film but also obtain smooth surface morphology.

A.2.94
18:00
Authors : Michel Khoury1,2, Olivier Tottereau1, Guy Feuillet2, Philippe Vennéguès1 and Jesus Zúñiga-Pérez1
Affiliations : 1Universitè Côte d’Azur, CRHEA - CNRS, Rue Bernard Grégory, 06560 Valbonne, France 2Universitè Grenoble Alpes, CEA - LETI, 17 Rue Des Martyrs, 38054 Grenoble Cedex 9, France

Resume : Meltback etching, a deteriorating chemical reaction occurring between gallium and silicon at typical metal organic chemical vapor deposition growth conditions is a common problem that often limits development of GaN on silicon substrates, in particular patterned substrates, and must therefore be circumvented. To further understand this reaction, energy dispersive x-ray spectroscopy was performed and a proposed model on how meltback etching evolves throughout the growth process was discussed, which indicated an inter-diffusion reaction occurring primarily between gallium and silicon where gallium from GaN diffuses into the silicon substrate while silicon from the substrate diffuses into the GaN crystal. Moreover, we demonstrate an anisotropic behavior of the gallium diffusing into the substrate characterized by a penetration perpendicular to the Si (111) planes. Finally, an approach to prevent meltback etching by changing the fractions of nitrogen and hydrogen in the carrier gas is presented.

A.2.95
Start atSubject View AllNum.Add
 
Characterization : Carol Trager-Cowan
10:30
Authors : A. A. Yamaguchi, N. Shimizu, S. Sakai, T. Nakano, H. Fukada, Y. Kanitani, and S. Tomiya
Affiliations : Kanazawa Institute of Technology; Sony Corporation

Resume : Internal quantum efficiency (IQE) in III-nitride materials is usually estimated from temperature dependence of photoluminescence (PL) intensity by assuming that IQE at cryogenic temperature is unity. III-nitride samples, however, usually have large density of non-radiative defects, and the assumption is not necessarily valid. Photo-acoustic (PA) measurement is a good method to directly detect the heat generation by non-radiative recombination, and can be a complementary approach to reveal the recombination processes. Recently, we developed a new method to estimate accurate IQE values by simultaneous PA/PL measurements. The IQE values can be estimated by analyzing the correlation between PA and PL signals in nonlinear behavior of their excitation-power dependence, by considering that these signals are proportional to the amounts of heat and light generation in the non-radiative and radiative recombination, respectively. In this study, we have applied the method to InGaN quantum-well active layers and have measured the IQE values and their excitation carrier-density dependence in the layers. Also, we have performed temperature-dependent time-resolved measurements for the same samples, which enable us to accurately estimate the radiative and non-radiative lifetimes of photo-excited carriers by the combination with the PA/PL simultaneous measurements. Finally, we will discuss the carrier dynamics in the InGaN quantum-well layers and their temperature dependence.

A.11.1
11:00
Authors : Blair C. Connelly; Chad S. Gallinat; Michael Wraback
Affiliations : U.S. Army Research Laboratory, Sensors and Electron Devices Directorate, Adelphi, MD USA 20873

Resume : The inter- and intraband carrier dynamics are studied in a 1-µm thick InN bulk layer grown on a free-standing GaN substrate using ultrafast spectroscopic techniques. A 400-nm pump beam is used to excite carriers into the upper Gamma3 energy band, while a probe beam – tuned both above (400 nm) and below (600 nm) the Gamma3 band – monitors both the differential reflection and time-domain THz signal as a function of time after the initial pump pulse. The differential reflection signal monitors carrier evolution through the band structure due to changes in the complex dielectric function, while the THz signal induced by the secondary probe pulse is sensitive to electron dynamics due to the depletion of carriers in the probe volume, screening of the photo-Dember field, and screening due to free electrons. The combined measurements reveal a fast intervalley scattering time from the Gamma3 to Gamma1 band of ~220 fs, followed by a relaxation to the Gamma1 band within ~500 fs of excitation (as seen by the change in sign of the reflection signal of 600-nm probe beam, positioned between the Gamma1 and Gamma3 energy bands). Excited electrons then recombine from the Gamma1 band to the valence band with a lifetime of ~250 ps. Future work will determine the Gamma3 band gap by tuning the pump beam energy through the Gamma3 band edge, and will tune the pump and probe beams around the lower Gamma1 band to complete the picture of inter- and intraband carrier dynamics in InN.

A.11.2
11:15
Authors : Nerijus Armakavicius1, Jr-Tai Chen2, Tino Hofmann1,3, Sean Knight3, Philipp Kühne1, Daniel Nilsson2, Urban Forsberg2, Erik Janzén2, Mathias Schubert1,3, and Vanya Darakchieva1
Affiliations : 1) Terahertz Materials Analysis Center, Department of Physics, Chemistry and Biology IFM, Linköping University, Sweden 2) Semiconductor Materials, Department of Physics, Chemistry and Biology IFM, Linköping University, Sweden 3) Department of Electrical and Computer Engineering, University of Nebraska-Lincoln, USA

Resume : Understanding of the electronic transport properties in semiconductor materials and factors affecting them are crucial for the development of new electronic and optoelectronic devices. The key parameters for the electronic transport are free charge carrier (FCC) properties. While well-established electrical measurements are usually employed to study FCC concentration and mobility parameters, determination of the effective mass requires some more cumbersome methods requiring low temperatures and relatively high carrier mobilities. The optical Hall effect (OHE) in infrared (IR) and terahertz (THz) spectral ranges proved to be a powerful method for FCC properties determination in multilayered semiconductor structures at room temperature. OHE induced by an external magnetic field is probed using spectroscopic ellipsometry and the subsequent data analysis allows the determination of FCC concentration, mobility and effective mass simultaneously. We employ THz OHE to study properties of a two-dimensional electron gas (2DEG) formed in AlGaN/GaN high electron mobility transistor structures with modified interfaces. We show that 2DEG density and mobility are highly affected by the interface structure. The effective mass parameter remains constant and indicates delocalization of the 2DEG in GaN layer.

A.11.3
11:30
Authors : Masahiro Horita1, Tetsuo Narita2, Tetsu Kachi3, Tsutomu Uesugi2, Jun Suda1 3
Affiliations : 1Kyoto University; 2Toyota Central R&D Labs.; 3Nagoya University

Resume : Understanding of deep level traps in GaN is very important. Intentional introduction of point defects by high-energy electron beam (EB) irradiation is an effective method to investigate trap origins. Several groups have reported three major electron traps with the energy levels of Ec-0.15 eV (EE1), Ec-0.8 eV (EE2), and Ec-1.14 eV (EE3) in GaN after 0.7 - 2 MeV EB irradiation. Several suggestions were given for origins of these traps. For example, N_(I) or V_(N)-N_(Ga)-Ga_(N)-N_(I) chain defect was proposed for EE2. However, the trap origin has been still unclear because EB irradiation with the energy higher than 500 keV gives rise to displacement of both Ga and N atoms. In this study, we performed 400-keV-EB irradiation to GaN, which produces only N displacement, to discuss the trap origins. GaN layers grown by MOVPE on freestanding GaN (0001) substrates were used. EB irradiations were carried out at 400 keV by changing the fluence up to 5.4x10^(15) cm^(-2). In DLTS measurements for the 400-keV-EB-irradiated GaN, only EE1 and EE2 were observed. We confirmed that the concentrations of EE1 and EE2 were proportional to the EB fluence. These results clearly suggest that the origin of EE1 and EE2 is related to V_(N) or N_(I), while EE3 is related to V_(Ga) or Ga_(I). The V_(N)-N_(Ga)-Ga_(N)-N(I) was excluded from the origin for EE2 because Ga displacement cannot be generated by 400-keV-EB irradiation. Annealing behavior of the EB-induced traps will be discussed in the conference.

A.11.4
11:45
Authors : Ł. Janicki 1, M. Gładysiewicz 1, J. Misiewicz 1, K. Klosek 2, M. Sobanska 2, P. Kempisty 3, Z. R. Zytkiewicz 2, and R. Kudrawiec 1
Affiliations : 1 Faculty of Fundamental Problems of Technology, Wrocław University of Technology, Wybrzeże Wyspiańskiego 27, 50-370 Wrocław, Poland 2 Institute of Physics, Polish Academy of Science, al. Lotników 32/46, 02-668 Warsaw, Poland 3 Institute of High Pressure Physics, Polish Academy of Sciences, Sokolowska 29/37, 01-142 Warsaw, Poland

Resume : We show that the surface Fermi level (FL) of GaN(0001) exhibits a bistable behavior allowing it to be located at two distinct energetic positions corresponding to singularities of surface density of states (SDOS) at the air/GaN interface. To determine the surface FL position we perform contactless electroreflectance (CER) studies on specially designed UD+ structures [GaN(undoped)/GaN(highly doped)/substrate] doped by Si and Mg. Analyzing the period of Franz-Keldysh oscillation present in CER spectra we determine the built-in electric field in the undoped layer. Next, we perform numerical solutions of the Poisson equation with the field as a parameter to determine the surface FL position at the air/GaN interface. Depending on the doping type the surface FL is located in the upper or lower singularity of SDOS (i.e., 0.6 eV or 1.7 eV below the conduction band edge) for Si or Mg doped structures, respectively [1]. Therefore, the surface FL position depends on the doping type in the bulk of the material (i.e., as far as 150 nm below the surface) and not on the residual doping in the outer layer itself. This indicates that a stack growth sequence might influence the quality of final structure (e.g., a P-I-N structure) as defect incorporation depends on the surface FL. We support our findings with the density functional theory calculations of the SDOS and the dependence of the GaN surface FL position on the doping concentration. [1] Ł. Janicki et al., App. Surf. Sci. 396 (2017) 1657

A.11.5
12:00
Authors : A.Y. Polyakov1, E.B. Yakimov 1and 2, N.B. Smirnov1, I.V. Shchemerov1, S.I. Didenko1, In-Hwan Lee3, S.J. Pearton4
Affiliations : 1National University of Science and Technology MISiS, Leninskiy pr. 4, Moscow 119049, Russia; 2Institute of Microelectronics Technology and High Purity Materials, Russian Academy of Science, 6, Academician Ossipyan str., Chernogolovka, Moscow Region 142432, Russia; 3 School of Materials Science and Engineering, Korea University, Seoul 02841, Korea; 4 University of Florida, Gainesville, Florida 32611, USA

Resume : Dislocations in n-GaN are known to be surrounded by the region of enhanced nonradiative recombination activity and look as dark dots in MCL and EBIC imaging. However, the radius of these low-lifetime cylinders around the dislocations is quite small, ~100 nm, so that the dislocations should seriously affect the average lifetime (tau) and diffusion length (Ld) only for dislocation densities exceeding ~1E9 cm-2. The type of the centers determining Ld in materials with lower dislocation densities has not been well understood. In this talk we describe parallel measurements of deep electron and hole traps by DLTS and ODLTS and of diffusion lengths by measurements of EBIC dependence on probing beam energy. The measurements were performed on a large group of MOCVD-grown n-GaN films (dislocation density~5E8 cm-2), ELOG and pendeo films (dislocation densities around some 1E6 cm-2 in the wings and some 1E8 cm-2 in the windows/seeds), and in HVPE bulk n-GaN crystals (dislocation density below 1E7 cm-2). We find that the diffusion lengths are quite close within one wafer for regions with vastly different dislocation densities, but can vary by an order of magnitude for differently grown samples with similar dislocation densities. Analysis of deep traps spectra by DLTS/ODLTS allowed to attribute the changes of Ld in all studied films and crystals to the action of major electron traps with level near Ec-0.6 eV. The very low density of these (and all other electron traps) in bulk HVPE results in high Ld values of~0.6 um. Irradiation with 6 MeV electrons markedly decreased the Ld values while not changing measurably the density of the 0.6 eV traps. Instead, we observe a rapid increase of the density of deep electron traps Ec-1 eV that take on the role of the main lifetime killers in such irradiated crystals.

A.11.6
 
AlN/AlGaN : Julien Brault
13:45
Authors : N. Mante1, S. Rennesson2, E. Frayssinet2, L. Largeau3, F. Semond2, G. Feuillet, P. Vennéguès2
Affiliations : 1 Université Grenoble Alpes, CEA, LETI, MINATEC Campus, F-38054 Grenoble, France; 2 Université Côte d’Azur, CRHEA-CNRS, rue B. Grégory, F-06560 Valbonne, France; 3 C2N-CNRS, Route de Nozay, F-91460 Marcoussis, France

Resume : The control and quality of epitaxial growth of III-Nitrides on silicon substrate is one of the big challenges for our community. While it is generally accepted that AlN is the best buffer layer for GaN growth on silicon, there are still important open questions about the nucleation and microstructure of AlN-on-Si. This presentation deals with the description of AlN-on-Si microstructure and the understanding of mechanisms leading to them. This study is carried out at a microscopic and a macroscopic scale using respectively, transmission electron microscopy (TEM) and grazing incidence X-ray diffraction (GIXRD). In a first step, AlN forms small size (10nm-large) and highly dense individual islands. This can be related to the high interfacial energy due to the difference of chemical composition and to the large lattice mismatch. Islands are fully relaxed and present in-plane misorientations up to 6°. A model explaining the in-plane misorientations by the introduction of mixed-character 60° a-type dislocations is proposed. In a second step, coalescence of misoriented islands leads to the formation of vertical threading dislocations (TD). The used-growth temperatures do not allow significant TD movement and the microstructure is the direct reflect of the 3D-nucleation with the observation of misoriented growth columns. The resulting TD densities can be directly related to the nucleation-islands sizes.

A.12.1
14:00
Authors : Mingxing Wang, Fujun Xu, Zhixin Qin, Lisheng Zhang, Yuanhao Sun, Na Xie, Zhaoying Chen, Bo Shen
Affiliations : State Key Laboratory of Artificial Microstructure and Mesoscopic Physics, School of Physics, Peking University, Beijing 100871, China

Resume : In recent years, high quality aluminium nitrogen (AlN) has attracted enough attentions for its wide range of applications, such as AlGaN-based deep ultraviolet (DUV) light-emitting diodes (LED) and detectors. Up to date, sapphire is still a perferred choice for AlN heteroepitaxy due to its high transparency in DUV light and low price. However, AlN epitaxy on sapphire generally produces high threading dislocations density (TDD) owning to the large lattice and thermal mismatch between AlN and sapphire substrates. TDD directly influences internal quantum efficency (IQE) in the multiple quantum wells of the DUV LEDs. Therefore, high quality AlN template with smooth surface and low TDD is necessary. In this study, we have investigated the microstructural evolution of AlN films by introducing high temperature annealing under nitrogen atmosphere, which is proven effective in improving AlN crystalline quality. AlN films with different thickness were grown on c-plane sapphire substrates by metal-organic chemical vapor deposition (MOCVD). Then the AlN films were annealed at 1550-1750 ℃ in the N2 atmosphere for 1-2h. After high temperature (HT) annealing, the crystalline quality of AlN was greatly improved. Particularly, the crystalline quality of the 540-nm thick AlN film after annealing at 1700 ℃ for 1h shows the best results. The X-ray diffraction -scan full width at half maximum(FWHM)values for (0002) and (10-12) reflections are 88 and 319 arcsec, respectively. Cross-sectional transmission electron microscope (TEM) observation for the annealing AlN films confirms that the reduction of TDD involves a movement of the threading dislocations (TD) which originates from glide and climb In addition, from the HRTEM images, a new interface near the interface between sapphire and AlN is observed after annealing. The AlN lattice constant c above the interface is much smaller than the value below the interface, which means the in plane tensile stress decreased dramatically. This proves that the new interface has an effect on strain relaxation. The result was also further confirmed by the Raman experiment. Based on the high quality AlN template, high-quality Al-rich AlGaN epilayer without cracks has been achieved, which feature a smooth surface morphology and high crystalline quality with the X-ray diffraction -scan FWHM values of 180 and 350 arcsec severally for (0002) and (10-12) peaks for the typical Al0.6Ga0.4N epilayers.

A.12.2
14:15
Authors : S. Matta 1,2*, J. Brault 1, T.-H. Ngo 2, B. Damilano 1, M. Korytov 1, P. Vennéguès 1, M. Nemoz 1, J. Massies 1, M. Leroux 2 and B. Gil 2
Affiliations : 1. CNRS-CRHEA, Rue B. Gregory, 06560 Valbonne, France 2. L2C, UMR 5221, Case courrier 074-34095 Montpellier Cedex 5, France

Resume : AlxGa1-xN based ultraviolet (UV) LEDs attract a lot of attention as an alternative to mercury vapor lamps that suffer from environmental problems and technical limitations. However the low structural quality of AlxGa1-xN materials leads to a drop in the UV-LED efficiencies. Our approach to enhance the radiative efficiency in AlxGa1-xN LEDs is to confine the carriers in 3 dimensions in quantum dots (QDs) to minimize the probability of non-radiative recombinations with dislocations. In this work, we investigate the properties of AlyGa1-yN QDs (0 ≤ y ≤ 0.2) for high efficient UV emitters. The samples were grown on AlxGa1-xN (0001) with 0.5 ≤ x ≤ 0.8. The influence of the epitaxial strain and of the QD composition on the formation of high QD densities (up to 1012 cm -2) are shown. In addition, the fabrication of ultra-small QDs (with height < 2 nm), enables to minimize the influence of the internal electric field on the QD emission energy. Time resolved photoluminescence (PL) combined with temperature (T) dependent PL measurements enabled us to determine the QD internal quantum efficiency (IQE) at low T, showing IQE values between 30% and 50% in the 300 nm – 370 nm range. In addition, the PL yield decrease only by 25% from low T to room T. A very strong reduction of the decay times (from tens of µs to few ns) was also observed for higher Al content and smaller size QDs, due to the strong increase of the electron–hole wavefunction overlap. These properties can be related to two contributions: the QD size and/or the internal electric field reduction, which will be discussed. Finally, the design of AlyGa1-yN QD based LEDs was investigated with the ability to fabricate LEDs emitting at 300 nm. This work is supported by the ANR Project “NANOGANUV”.

A.12.3
14:30
Authors : Robert Bondokov, Jianfeng (Jeff) Chen, Murugesu Yoganathan, Takashi Suzuki, Shailaja P. Rao, Toru Kimura, Keisuke Yamaoka, and Leo J. Schowalter
Affiliations : Crystal IS, Inc., 70 Cohoes Av, Green Island, USA; and Asahi Kasei Corp, 2-1 Samejima, Fuji-shi, Shizuoka, 416-8501, Japan

Resume : Aluminum nitride (AlN) crystals, grown using a Physical Vapor Transport (PVT) method, are used by Crystal IS, Inc., to make single crystal AlN wafers which are then used in the commercial manufacturing of UVC LEDs. The wafers show excellent quality as measured by XRD rocking curves with FWHM < 30 arcsec. These wafers are then used to fabricate high performance, long lifetime UVC LEDs for air purification, water disinfection, and environmental sensing. Commercially available SMD product (Klaran™) achieve germicidal power outputs up to 30 mW at a nominal wavelength of 265nm with drive currents up to 400 mA. While IQE and current injection efficiency are important factors in device efficiency; the wall plug efficiency in our devices is now dominated by the photon extraction efficiency. The substrate transparency is a key part of the photon extraction efficiency. The substrate purities, measured by GDMS and SIMS, and growth regimes are linked to high UV transmission. In particular, reducing the peak in the absorption at 265 requires low carbon concentration while oxygen impurities also need to be tightly controlled to reduce UV absorption below 300nm. However, the thermal treatment of the crystal boule also changes the UV absorption dramatically. For instance, rapid cooling of the boule causes a UV absorption band between 300 and 350 nm due to an increase in the point defect concentration at the higher cooling rate [1]. Thus, the absorption of the AlN substrates can be changed to match the application by varying the cooling profile. [1] S. B. Schujman, et al., US patent 9,447,519; issued Sept. 2016.

A.12.4
14:45
Authors : A.M. Siladie [1,2], M. Belloeil [1,2], Z. Fang [3], L. Tizei [4], M. Kociak [4], A. Cros [5], N. Garro [5], B. Gayral [1,2], J. Pernot [1,3] and B. Daudin [1,2]
Affiliations : [1] Univ. Grenoble Alpes, 38000 Grenoble, France; [2] CEA, INAC-PHELIQS "Nanophysics and semiconductors" group, F-38000 Grenoble, France; [3] CNRS, Inst. NEEL, F-38042 Grenoble, France; [4] Laboratoire de Physique des Solides, Université Paris-Sud, 91405, Orsay, France; [5] Materials Science Institute (ICMUV), University of Valencia, P. O. Box 22085, E46071, Valencia, Spain.

Resume : Actual 2D AlxGa1-xN LEDs exhibit low efficiency due to extended defects that affect the optical and electrical properties by trapping carriers or gettering point defects. The requirement for improved efficiency of actual devices could benefit from the use of nanowire heterostructures presenting the advantage of plastically relaxing the constraints during growth. One of the biggest challenges when developing such devices is the assessment of the p-n junction. The present work combines complete optical and electrical characterization techniques adapted for studying single nanowires, allowing the visualization and characterization of the axial junctions in terms of abruptness and interdiffusion of carriers. Evidence of dopant incorporation coming from the different Raman signatures for single AlxGa1-xN NW junctions over a wide range of AlN molar fraction was obtained by using µ-Raman technique. High-resolution nanocathodoluminescence gave an estimation of junction width by mapping Si- and Mg-related optical signatures. Electrical characterizations provide information on the quality of materials and allow extracting the electrical parameters of the junction. Using Kelvin Probe AFM, electrical evidence of the AlxGa1-xN NW p-n junction through a clear CPD voltage offset has been observed [1]. Similarly to individually contacted GaN NW junctions [2], AlxGa1-xN NWs were studied allowing the extraction of minority carriers’ diffusion lengths and depletion region width from the EBIC signal. [1] A. Minj et al. Nanotechnology 27 385202 (2016). [2] Fang Z., Donatini F., Pernot J., Daudin B., Visualization and quantitative analysis of axial p-n junction on single GaN nanowire by electron beam based techniques (Submitted)

A.12.5
15:00
Authors : Lisheng Zhang, Fujun Xu*, Mingxing Wang, Yuanhao Sun, Nan Xie, Zhixin Qin, and Bo Shen*
Affiliations : State Key Laboratory of Artificial Microstructure and Mesoscopic Physics, School of Physics, Peking University, Beijing 100871, China

Resume : It’s well known that crystalline quality of AlN and AlGaN with high Al composition has crucial influence on efficiency of the multiple quantum wells in the DUV-LED. Therefore, it is essential to obtain AlN and AlGaN with low threading dislocation density (TDD). However, the typical TDD for AlN epitaxy on the flat sapphire is in the order of 109-1010 cm-2, which seriously affects the operation of the DUV-LED. In this work, the small-coalescence-area lateral growth of AlN on nano-patterned sapphire substrates (NPSS) is developed. Crystalline quality of AlN, AlGaN films and internal quantum efficiency of the subsequent AlGaN-based multiply quantum wells are greatly improved by using this method. The optimized NPSS contribute to eliminate almost entirely the threading dislocations (TDs) originating from the AlN/sapphire interface via bending the dislocations by image force from the void sidewalls before coalescence. In addition, reducing the misorientations of the adjacent regions during coalescence by adopting the low lateral growth rate is also essential for decreasing TDs in the upper AlN epilayer. What is more important that the small-coalescence-area lateral growth of AlN on NPSS ensures the low TDD in epilayer. The X-ray diffraction full width at half maximum (FWHM) values for (0002) and (10"1" ̅2) reflections of AlN are 79 and 206 arcsec, respectively. Then the intermediate supper lattice layer was grown to alleviate the lattice mismatch and filtrate threading dislocations between AlN and AlGaN. As a result, only small broadening of the X-ray diffraction FWHM for (0002) and (10"1" ̅2) reflections of Al0.58Ga0.42N were observed, and they are 151 and 235 arcsec, respectively. On the basis of the AlN and AlGaN with high crystalline quality, the AlGaN-based MQWs with target wavelength of 280 nm was fabricated. Thanks to the low dislocations density of the 108 cm-2 order in the MQWs, a high IQE value of 73.9% for the 281-nm MQWs was obtained by the temperature-dependence PL spectrum measurement.

A.12.6
15:15
Authors : M. Tollabi Mazraehno1,2, M. P. Hoffmann2, C. Reich1, S. Englisch2, C. Brandl2, M. Binder2, B. Galler2, T. Wernicke1, M. Kneissl1, and H.-J. Lugauer2
Affiliations : Institute of Solid State Physics, Technische Universität Berlin, Hardenbergstr. 36, 10623 Berlin, Germany OSRAM Opto Semiconductors GmbH, Leibnizstr. 4, 93055 Regensburg, Germany

Resume : Temperature and excitation dependent PL measurements on AlGaN-based deep UV MQWs with emission <270nm are carried out under both resonant and non-resonant excitations for evaluation of the internal quantum efficiency (IQE) dependence on the applied IQE measurement method. Therefore, MQWs with varying defect densities grown by MOVPE on c-plane AlN native substrates with threading dislocation densities (TDD) <1×1E4cm-2, as well as on AlN-templates on sapphire with TDD <1E9cm-2 are investigated. Significant discrepancies in the IQE determination are observed which are shown to originate from the excitation of AlN barriers in the MQW structure in case of a non-resonant excitation leading to an unrealistic IQE value. In addition, a strong reduction of the IQE at high carrier densities (droop) is observed for these MQWs in contrast to observations in literature. Therefore, a detailed recombination analysis of excitation-density-dependent PL is presented with an extended version of the Shockley-Read-Hall model. The MQWs are simulated rigorously using a hybrid numerical method, combining a transport and a full-wave electromagnetic model. By fitting the model to the resonant and non-resonant PL measurements IQE, carrier recombination, and parasitic absorption in barriers are determined, as well as A- and C-parameters for AlGaN quantum wells. These results clearly prove that at excitation densities >100kW/cm2 the droop plays a significant role also in AlGaN-based MQW structures.

A.12.7
15:30
Authors : Sylvia Hagedorn, Arne Knauer, Ute Zeimer, and Markus Weyers
Affiliations : Ferdinand-Braun-Institut, Leibniz-Institut für Höchstfrequenztechnik, Gustav-Kirchhoff-Str. 4, D-12489 Berlin, Germany

Resume : Due to the lack of bulk AlN substrates with suitable diameter of at least 2? and in sufficient quantity for production of AlGaN based optoelectronic devices, considerable efforts have been made to grow high quality AlN on foreign substrates. A widely used approach is to grow AlN by metal-organic vapor phase epitaxy (MOVPE) on sapphire. But AlN directly grown on sapphire usually suffers from high treading dislocation density (10^10 cm-2) and low critical layer thickness (1 ?m) introduced by lattice and thermal mismatch between sapphire and AlN. To weaken the interface between both we investigate the approach of roughening the sapphire surface by annealing at 1290°C in H2 environment in the MOVPE reactor prior to AlN growth. Thereby, 2.9 ?m thick crack-free AlN layers were deposited with a laterally homogeneous threading dislocation density of about 2*10^9 cm-2 across whole 2 inch wafers. It was found that this result can only be reached by proper preconditioning of the MOVPE reactor. To monitor the growth process in-situ measurements of wafer curvature and 405 nm light reflectivity were carried out. Structural properties were determined by X-ray diffraction measurements, atomic force microscopy and scanning electron microscopy. Our investigation on the relation between reactor pre-treatment, roughening of the sapphire and layer strain during AlN growth, as well as the investigation of the relation between AlN growth conditions (temperature and V/III ratio) and AlN surface morphology will be presented.

A.12.8
Start atSubject View AllNum.Add
 
Plenary : Bruno Daudin
09:00
Authors : R. Kirste, S. Mita, P. Reddy, Q. Guo,1 B. Sarkar, F. Kaess, J. Tweedie, R. Collazo, Z. Sitar
Affiliations : North Carolina State University, Materials Science and Engineering, Raleigh, NC 27695, USA; Adroit Materials, Inc., 2054 Kildaire Farm Rd, Cary, NC 27518, USA

Resume : Despite the rapid progress in III-nitride-based laser diodes, sub-300 nm UV semiconductors lasers have not been realized. UV optoelectronic devices have a variety of applications such as sterilization, water purification, spectroscopy, and biological sensing. AlGaN-based technology developed on single crystalline AlN substrates and impurity control in the active region offers a pathway to address all these challenges. In addition to low dislocation density, reduction in non-radiative centers and compensating point defects in the active region are required to achieve high IQE. In order to understand the influence of point defects on radiative lifetime and ultimately achieve high IQE, we have carried out an extensive study of vacancy and carbon control via Fermi level and supersaturation management for various MQW structures grown on bulk AlN substrates. The use of bulk AlN substrates enabled us to undoubtedly distinguish the effect of growth parameters on optical quality from the influence of dislocations and achieve record high IQE of >95% at 260 nm. Using this technology, we achieved lasing at room temperature in optically pumped AlGaN-based MQW structures with a threshold as low as 6 kW/cm2 and lasing wavelengths from 237 to 281 nm, and for the first time demonstrated population inversion in electrically injected lasers.

A.4.1