preview all symposia

2021 Fall Meeting

Oxides, ferroelectrics

Q

(Hf,Zr)O2-based ferroelectrics: from fundamentals to applications

HfO2/ZrO2-based ferroelectrics have been referred to as “the ferroelectrics of the future”, because they retain their polar nature down to a few nanometers and are fully compatible with modern CMOS technology. However, their full application potential and fundamental science behind the formation of ferroelectricity are still being discovered.

Scope:

In the first years after the report of ferroelectricity in Si:HfO2 thin films, people with background in semiconductor industry immediately started investigating the potential of the materials for ferroelectric memories with both capacitor- and transistor-based concepts. As HfO2 and ZrO2 are already integrated as dielectrics in state-of-the-art devices, the idea of “simply making them ferroelectric” to establish a non-volatile memory device was highly attractive. A few years later, the potential for steep-slope transistors utilizing the negative-capacitance effect (NC-FETs) was recognized and formed today’s second major branch of application-driven research on the topic of fluorite-type ferroelectrics.

This very applied perspective, however, caused a much slower pace toward fundamental understanding of the formation of this ferroelectric behavior. Moreover, researchers with a strong background in ferroelectrics remained a bit skeptical at first as it was very surprising that such properties had been overlooked in such well-studied oxides. In recent years, the understanding solidified and the communities started interacting. Nonetheless, there is still a lot to be done, both theoretically and experimentally.

Meanwhile, applied research did not stop and further applications beyond the abovementioned memories and low-power logic devices have been suggested. Today, the range of applications is broad and includes neuromorphic computing, energy storage and energy harvesting, piezo- and pyroelectric devices in general, decoupling capacitors, microwave antennas, and phase shifters.

The aim of this symposium is to provide a platform:

  • for people working on these material to gain insights into applications up to industrial level
  • for applied and fundamental researchers to connect and exchange
  • that serves as a step stone for people who consider starting to get engaged in this exciting and quickly growing new field

Hot topics to be covered by the symposium:

  • Novel growth and fabrication schemes
  • Fundamental insights from theory and experiments
  • Electric field cycling behavior: root causes and solutions
  • Negative-capacitance effect and NC-FETs
  • Emerging ferroelectric memories (capacitors-based FRAM, FE-FETs, FTJs and novel concepts)
  • Piezo- and pyroelectric properties and related applications
  • Neuromorphics and further applications
  • Application insights and viewpoints from industrial partners

Invited Speakers from Academia:

  • Cheol Seong Hwang (Seoul National University, South Korea): "Charge boosting in stacked ferroelectric/dielectric layers based on transient negative capacitance effect in (Hf,Zr)O2 film."
  • Uwe Schroeder (NaMLab gGmbH, Germany): [Overview on Ferroelectric HfO2]
  • Evgeny Tsymbal (Univ. of Nebraska, United States): “Interface magnetoelectric effect with HfO2
  • Mircea Dragoman (National Institute for Research and Development in Microtechnologies - IMT Bucharest, Romania): "Microwave and THz devices using HfO2-based ferroelectrics"
  • Thomas Kämpfe (Fraunhofer IPMS, Germany): “Pyroelectric response in ferroelectric and antiferroelectric HfO2 - enhancement at morphotropic and field-induced phase transitions”
  • Takao Shimizu or Hiroshi Funakubo (Tokyo Institute of Technology, Japan): "Electric field driven phase changes in epitaxial HfO2-based ferroelectric films"
  • Roozbeh Tabrizian (Univ. Florida): “Ferroelectric (Hf,Zr)O2 Transducers: Enabling High-Q Nano-Acoustic Components for Integrated RF Oscillators and Spectral Processors”
  • Michael Hoffmann (UC Berkeley, United States): "Negative Capacitance in HfO2- and ZrO2-based materials"
  • Seung Chul Chae (Seoul National University, South Korea): "Stable Sub-Loop Behavior in Ferroelectric Si-Doped HfO2"
  • Alfred Kersch (Munich University of Applied Science, Germany): "Characteristics of doped hafnia and zirconia from DFT calculations"
  • Sanghun Jeon (KAIST, South Korea): "Non volatile ferroelectric tunnel junction  for neuromorphic device application"
  • Alexei Gruverman (Univ. of Nebraska, United States): [Nanoscopic Insights into Electric Field Cycling Behavior.]
  • Suraj Cheema (UC Berkeley, United States): “Ferroic order in ultrathin HfO2-ZrO2 films and emerging electronic applications”
  • Pavan Nukala (Indian Institute of Science, India): “Reversible oxygen migration and phase-transitions in hafnia-based ferroelectric devices”
  • Jun Hee Lee   (Ulsan National Institute of Science and Technology, South Korea): “Ultimate-density memory via flat phonon bands in HfO2”
  • Andrei Zenkevich (Moscow Institute of Physics and Technology): “Experimental evidence of magnetoelectric coupling effect at the Ni/FE-Hf0.5Zr0.5O2 interface

Invited Industry Contributions:

  • Sabine Kolodinski (Globalfoundries Inc., Germany): [View from Industry and Report on their activities on NC-FETs, AFE-RAM, FeFET, Pyroelectric applications]
  • Jaegil Lee (SK Hynix, South Korea): "Ferroelectric Device Applications & Challenges"
  • Milan Pesic (Applied Materials Inc., United States): "Physical Mechanisms and Reliability of Ferroelectric Memories"
  • Stefan Müller (Ferroelectric Memory GmbH, Germany): [Ferroelectric HfO2 and its Impact on the Memory Landscape]
  • Shingo Yoneda (Murata Manufacturing Co. Ltd., Japan): "Nonlinear Polarization Response of HfO2-based Thin Films Fabricated by Chemical Solution Deposition"
  • Tue Gunst (Synopsys Inc. United States): [Atomic-scale Simulation Frameworks for Ferroelectric Materials]
  • Laura Begon-Lours (IBM Zurich, Switzerland): [CMOS-compatible ferroelectric synapses for neuromorphic circuits]

Scientific Committee Members:

  • Wenke Weinreich (Fraunhofer IPMS)
  • Beatriz Noheda (University of Groningen)
  • Yingfen Wei (EPFL)
  • Alain C. Diebold (Albany University)
  • Jacob Jones (North Carolina State University)
  • Florencio Sánchez (ICMAB)
  • Ignasi Fina (ICMAB)
  • Toshi Nishida (University of Florida)
  • Andreas Rüdiger (University of Quebec)
  • Athanasios Dimoulas (National Center for Scientific Research “Demokritos”)
  • Jon Ihlefeld (University of Virginia)
  • Gertjan Koster (University of Twente)
  • Patrick Lomenzo (NaMLab GmbH)
  • Sanghan Lee (Gwangju Institute of Science and Technology)
  • Mattias Borg (Lund University)
  • Steve Consiglio (Tokyo Electron Ltd.)
  • Yunseok Kim (Sungkyunkwan University)
  • Jiyoung Kim (University of Texas at Dallas)
  • Brendan Hanrahan (U.S. Army Research Laboratory)
  • Si Joon Kim (Kangwon University)
  • Dayu Zhou (Dalian University of Technology)
  • Sang Mo Yang (Sogang University)
Start atSubject View AllNum.
10:50 Welcome message and introduction to the Symposium    
 
Materials & Synthesis I (11:00 - 13:00) : tbd
11:00
Authors : Uwe Schroeder
Affiliations : NaMLab gGmbH/TU Dresden, Noethnitzer Strasse 64a, 01187 Dresden, Germany

Resume : Fluorite-structured ferroelectrics have outstanding potential for commercial applications due to their scalability, CMOS compatibility, and ease of fabrication. These advantages make ferroelectric HfO2 very attractive for non-volatile memory solutions such as ferroelectric random-access memory (FRAM), ferroelectric field-effect transistors (FeFET), and ferroelectric tunnel junctions (FTJ). Significant efforts have been made for the physical understanding and technological exploitation of ferroelectric HfO2. Due to the wide range of conditions under which ferroelectricity can arise in HfO2 thin films, the scientific community has improved and optimized ferroelectric properties using various approaches. As a result, progress in thin-film technology for ferroelectric capacitors and memory arrays is advancing rapidly. However, researchers are still busy unraveling the various causes of ferroelectricity in doped HfO2 and also ZrO2 thin films. Among them, dopants and oxygen content in a layer seem to play a significant role, affecting both the formation of the crystalline phase and the performance of HfO2-based devices. This talk will review recent results and provide a comprehensive picture of this topic, starting with an overview of dopants and existing oxygen-related defects, evaluating the calculations and experimental reports on phase stabilization in undoped and doped HfO2. Here, temperature-dependent phase transitions help understand how different phases are formed and which parameters impact the formation process. Since these parameters also influence the device and reliability performance of those above-mentioned three main groups of ferroelectric non-volatile memory devices, a detailed understanding is essential for future device fabrication.

Q.1.1
11:30
Authors : Pavan Nukala1,2*, Majid Ahmadi2, Evgenios Stylianidis2,3, Tuhin Chakrabortty1, Yingfen Wei2, Sytze de Graaf2, Alexander Bjorling4, Dan Mannix4, Henny W. Zandbergen5, Sylvia Matzen6, Bart J. Kooi2, Gerardina Carbone4, Beatriz Noheda2*
Affiliations : 1) Center for Nanoscience and Engineering, Indian Institute of Science, Bengaluru, 560012, India 2) Zernike Institute of Advanced Materials, University of Groningen, Groningen, 9747 AG, The Netherlands 3) Department of Physics and Astronomy, University College London, Gower Street, London, WC1E6BT, UK 4) Max IV laboratory, Lund University, PO Box: 118, SE- 221 00, Lund, Sweden 5) Kavli Institute of Nanoscience, Faculty of Applied Sciences, Delft University of Technology, 2628 CJDelft, The Netherlands 6) Centre for Nanoscience and Nanotechnology (C2N), CNRS, Université Paris-Saclay, 10 Boulevard Thomas Gobert, 91120 Palaiseau, France

Resume : Unconventional ferroelectricity, robust at reduced nanoscale sizes, exhibited by hafnia-based thin-films presents tremendous opportunities in nanoelectronics. However, the exact nature of polarization switching remains controversial. We investigate epitaxial Hf0.5Zr0.5O2 (HZO) capacitors, using atomic resolution electron microscopy while in situ electrical biasing and operando nanobeam x-ray diffraction at nanoMAX, MAX IV. HZO layers are interfaced with oxygen reactive metal (La0.67Sr0.33MnO3, LSMO) as the bottom electrode and both oxygen reactive and non-reactive metals as the top electrode. We utilize differential phase contrast STEM imaging in conjunction with in situ biasing, and follow directly interpretable oxygen dynamics at an atomic scale, albeit under DC stressing conditions. Through operando XRD measurements, we follow the evolution of the Bragg peaks of HZO (and LSMO) on the 2D detector with 1 ms resolution upon cycling the devices at 100 Hz. These measurements capture the short-term ferroelectric switching dynamics of HZO. Through these complimentary experiments, we concretely show that oxygen voltammetry is very much intertwined with ferroelectric switching in these devices. I will discuss the nature of this voltammetry with different top electrodes (oxygen reactive and non-reactive). In addition to these, I will also discuss the insights obtained on the mechanical response of HZO during ferroelectric switching, and corresponding electromechanical coefficients. Reference P. Nukala et al., Reversible oxygen migration and phase transitions in hafnia-based ferroelectric devices, Science, 372, pp: 630, (2021)

Q.1.2
12:00
Authors : Kim, S. *(1), Lee, S. H. (2), Hwang, W. S. (3), Park, W. Y. (2) & Cho, B. J. (1)
Affiliations : (1)Korea Advanced Institute of Science and Technology, South Korea (2)SK Hynix Inc, South Korea (3)Korea Aerospace University, South Korea * lead presenter

Resume : This works presents a novel method to maximize the k value of HfxZr1-xO2 without leakage property deterioration, by inducing damage-free phase transition with electric field cycling. Previous studies observed that HfxZr1-xO2¬ film has the highest k value when it is in morphotropic phase boundary of tetragonal and orthorhombic phase. Conventional phase transition process requires high temperature spike anneal, which follows grain increment and leakage property degradation. To induce the phase transition of HfxZr1-xO2 without increasing leakage current, we crystallized the HfxZr1-xO2 film in tetragonal phase with low temperature annealing and induced tetragonal to orthorhombic phase transition through electric field cycling. When certain amount of external electric field is applied to tetragonal HfxZr1-xO2 film, the crystal structure temporally changes to orthorhombic. While applying electric field in cycles, some grains permanently transform to orthorhombic phase by trap pinning, making the whole film to stay in morphotropic phase boundary. With thin film properties and device performance analysis, we observed that this method enable us to control the detail ratio of tetragonal and orthorhombic grain in HfxZr1-xO2 film by change cycle numbers. Until 106 electric field cycles, leakage current of HfxZr1-xO2 film remains in the same order. With this method, we could achieve high k value, low EOT, and low leakage current, satisfying the demands of high k DRAM capacitor.

Q.1.3
12:15
Authors : A. Viegas, K. Mertens, Tarek Ali, M. Czernohorsky, J. Heitmann
Affiliations : Fraunhofer IPMS – Center Nanoelectronic Technologies (CNT); Institute of Applied Physics, Technical University Bergakademie Freiberg, Germany

Resume : Ferroelectric doped hafnium oxide is of great interest to the research and industry communities because of its compatibility to CMOS semiconductor manufacturing and its versatility in terms of potential applications as in the field of ferroelectric non-volatile memories, sensors and energy storage devices, and neuromorphic computing. Oxygen vacancies in the ferroelectric film and at the interfaces to the electrodes play an important role in the performance of ferroelectric devices. Ammonia and oxygen plasma treatment at the interfaces are known to improve reliability and lower leakage currents by suppressing oxygen vacancies [1]. In this work, we study the metal-ferroelectric-metal capacitor (MFM) focusing on optimizing the interfaces of planar TiN/HfZrO (HZO)/TiN stacks. Various plasma treatments are applied to better understand the role of the interface layers on the remnant polarization (Pr), endurance, and reliability of the ferroelectric HZO material. To this end, the bottom TiN electrode as well as the HZO were treated by NH3 and O2 plasma. The NH3 plasma power varied from 100W to 200W at a different temperatures between 50°C to 300°C, at a constant plasma time of 60 seconds. The O2 plasma was kept constant at 50W at 50°C for 60 seconds. Additionally, the HZO was also treated with an O2 plasma before PVD top TiN deposition, without treating the bottom electrode. Furthermore, a few samples were treated with both NH3 and O2 plasma separately at two different intervals of the HZO deposition. The entire stack was then annealed at 400°C for 60 seconds in a standard RTP process. The effect of plasma treatment on the bottom electrode has further been investigated by XPS and sheet resistance measurements on bottom TiN electrode. The samples were probed electrically by adding Ti/Pt dot electrodes patterned through a shadow mask. Leakage currents, capacitance and polarization measurements are evaluated. The impact of the different plasma treatments on the electrical properties of ferroelectric devices are discussed. [1] K. Chen et al, IEEE Electron Device Letters, vol. 39, no. 1, pp. 87-90, Jan. 2018

Q.1.4
12:30
Authors : Tingfeng Song1, Romain Bachelet2, Guillaume Saint-Girons2, Ignasi Fina1, Florencio Sánchez1
Affiliations : 1 Institut de Ciència de Materials de Barcelona (ICMAB-CSIC), Campus UAB, Bellaterra 08193, Barcelona, Spain; 2 Institut des Nanotechnologies de Lyon (INL-CNRS UMR 5270), Université de Lyon, Ecole Centrale de Lyon, 36 avenue Guy de Collongue, 69134 Ecully Cedex, France

Resume : Ferroelectric polarization and endurance in polycrystalline HfO2 films doped with La is high compared to the values reported using other dopants. However, these properties have not been explored yet in epitaxial films with thickness less than 10 nm. We have grown (111)-oriented La (2 at%) doped epitaxial HfO2 films on SrTiO3(001) substrates, buffered with La2/3Sr1/3MnO3 electrode. Top Pt electrodes were deposited on top of the films, and we investigated the thickness dependence of their ferroelectric properties (polarization, endurance and retention). Films of less than 7 nm have a high remanent polarization of about 30 µC cm-2, show slight wake-up effect, endurance of at least 1010 cycles and retention of more than 10 years, both latter properties measured at the same poling voltage. La-doped HfO2 films as thin as 4.5 nm also show remarkably robust ferroelectric properties. Similar excellent properties are achieved in films epitaxially grown on SrTiO3(001) buffered Si(001) substrates.

Q.1.5
12:45
Authors : Hyo Jeong Kim1, Yong Chan Jung2, Jaidah Mohan2, Sung Min Rho3, Min Seong Kim3, Jeong Gyu Yoo4, Hye Ryeon Park4, Jin-Hyun Kim2, Harrison Sejoon Kim2, Si Joon Kim1,4, Hyun Jae Kim3, Jiyoung Kim2
Affiliations : (1) Interdisciplinary Graduate Program in BIT Medical Convergence, Kangwon National University, 1 Gangwondaehakgil, Chuncheon-si, Gangwon-do 24341, Republic of Korea; (2) Department of Materials Science and Engineering, The University of Texas at Dallas, 800 West Campbell Road, Richardson, Texas 75080, United States; (3) School of Electrical and Electronic Engineering, Yonsei University, 50 Yonsei-ro, Seodaemun-gu, Seoul 03722, Republic of Korea; (4) Department of Electrical and Electronics Engineering, Kangwon National University, 1 Gangwondaehakgil, Chuncheon-si, Gangwon-do 24341, Republic of Korea

Resume : Ferroelectric properties in HfO2-based thin films have been studied extensively since their first report in 2011 [1] and they have shown the potential for application of next-generation nonvolatile memories. The ferroelectric behaviors are believed to originate from the non-centrosymmetric orthorhombic phase (o-phase). In order to induce the formation of the unusual o-phase, most studies over the past 10 years have mainly used a thermal budget process above 500°C [2]. This high-thermal budget process makes integration of ferroelectric circuits in the back-end-of-line (BEOL) difficult. For this reason, methods such as doping (e.g., Hf0.5Zr0.5O2), various deposition techniques, and various annealing methods and conditions have recently been attempted to obtain ferroelectric properties of HfO2-based thin films at low process temperature (especially below 400°C) [3]. In this study, we propose a high-pressure annealing (HPA) process as a method of fabricating Hf0.5Zr0.5O2 films with ferroelectric properties at low process temperatures. For the 10-nm-thick Hf0.5Zr0.5O2-based capacitor annealed at 300°C using HPA process, the remnant polarization (Pr) was approximately 10 μC/cm2 (i.e., 2Pr of about 20 μC/cm2). In addition to BEOL integration, this low-thermal budget process makes it easier to fabricate ferroelectric Hf0.5Zr0.5O2-based devices even on flexible substrates. Acknowledgements This work was supported by the National Research Foundation of Korea (NRF) grant funded by the Korea government (MSIT) (Nos. NRF-2019R1F1A1059972 and NRF-2020M3F3A1A02080642). This work was also partially supported by GRC-LMD program of SRC. References [1] T. S. Böscke et al. Appl. Phys. Lett. 99, 102903 (2011). [2] S. J. Kim et al. JOM 71, 246 (2019). [3] H. J. Kim et al. Phys. Status Solidi RRL, 15, 2100028 (2021).

Q.1.6
13:00 Break (60 min)    
 
Simulation (14:00 - 15:45) : tbd
14:00
Authors : Alfred Kersch, Luis Azevedo Antunes, Richard Ganser
Affiliations : Munich University of Applied Sciences, Lothstr.34, 80335 Munich, Germany

Resume : Recently, the rich polymorphism of HfO2 and ZrO2 has attracted renewed interest. Some new crystal phases like two inequivalent orthorhombic Pbca have been discussed [Lee, Ker1, Du], as well as further polar and antipolar phases [Ker2]. The transition paths between them have been explored [Ker2]. But the structural calculation reveals only the energy landscape at T=0. Relevant for the phase formation is the free energy at process temperature. In this work, we calculate the free energy of the polymorphs of HfO2 and ZrO2 from density functional calculation within the harmonic approximation. The results clarify which phases are relevant in a thermal treatment. Recently, interesting experimental results have been obtained with doped, epitaxial HZO and HfO2 [Shi, Xu], explanation requires understanding of the effect of dopant and temperature. The effect of doping has been investigated in the past for the relevant dopants [Mat], but not the free energy necessary to understand the effects in a thermal treatment. We therefore calculate the free energy of Y-doped and Si-doped HfO2 within the harmonic approximation, and compare with experimental findings. [Lee] Lee et al., Science 369, 1343 (2020) [Ker1] Kersch et al., pss RRL 15, 2100074 (2021) [Du] Du, Matter 4, 1 (2020) [Ker2] submitted to APL [Shi] Shimizu et al., pss RRL 15, 2000589 (2021) [Xu] Xu et al., Nature Materials 20, 826 (2021) [Mat] Materlik, Journal of Applied Physics 123, 164101 (2018)

Q.2.1
14:30
Authors : Jun Hee Lee
Affiliations : Ulsan National Institute of Science and Technology (UNIST), Korea

Resume : Ferroelectricity is believed to be induced, since it’s discovery in 1920, by bulky regions (10~100nm) so called “domains”. Here, we break the 100-years myth by introducing flat phonon bands into the history of ferroelectricity [1]. Here we, for the first time, show that flat phonon bands at momentum space in a ferroelectric HfO2 directly form localized dipoles in real space within a few-angstroms scale by circumventing conventional large domains.We propose to operate and switch these irreducibly small dipoles with the help of the flat bands. The existence of the flat band also explain the origin of the many unusual phenomena such as slow domain propagation and large coercive field in HfO2. By employing the flat band physics, we pave a way to materialize ultimate-density memories whose densities will reach up to ~100 TB, which will open the era of “Atomic Semiconductor”. [1] H. -J. Lee et al., Science 369, 1343 (2020)

Q.2.2
15:00
Authors : Mostafa Youssef*(1),(2), Minh Dinh (2), and Bilge Yildiz (2).
Affiliations : (1) The American University in Cairo, Egypt; (2) Massachusetts Institute of Technology, USA

Resume : The recently discovered ferroelectric phases of ZrO2 and HfO2 are proposed as candidates for applications that expose these oxides to very large electric fields such as ferroelectric random-access memories. On the other hand, the stabilization of these ferroelectric phases is attributed, among other things, to their oxygen vacancies. As such, it is essential to understand the dipolar response of these oxygen vacancies to external electric fields and the impact of the field on their concentration. In this work we present a detailed analysis of the field-dependent electric Gibbs free energy and defect dipole moment of all charge states of oxygen vacancies in ferroelectric Pca21 zirconia. Our approach is based on density functional theory, berry phase approach, and modern theory of polarization. We present an approach that facilitates computing the dipole moment of a polar defect embedded in a polar lattice under electric field. Our analysis showed that most oxygen vacancies, and especially the doubly charged, are further stabilized under electric field thanks to the work of the polarization effect of the field. Moreover, we found out that the field can stabilize the neutral oxygen vacancy in its triplet magnetic state which can open the possibility of a magnetoelectric effect in this ferroelectric phase of zirconia. Our study addresses the need for a fundamental understanding of realistic defective, rather perfect, ferroelectric oxides exposed to large external electric fields.

Q.2.3
15:15
Authors : Sangita Dutta (1,2,*), Hugo Aramberri (1), Tony Schenk (1), and Jorge ĺñiguez(1,2)
Affiliations : 1. Materials Research and Technology Department, Luxembourg Institute of Science and Technology, 5 av-enue des Hauts-Fourneaux, L-4362 Esch/Alzette, Luxembourg 2. Department of Physics and Materials Science, University of Luxembourg, 41 Rue du Brill, Belvaux L-4422, Luxembourg * sangita.dutta@list.lu

Resume : Hafnia (HfO2) films can be grown in a ferroelectric (FE) orthorhombic phase, which has a wide range of applications from memories to negative-capacitance field-effect transistors. However, to a large extent, the origin of this surprising state is still an open question, as none of the proposed mechanisms for its stabilization, ranging from surface and size effects to the role of the phase boundary, is fully conclusive. Interestingly, it is reported from the experiments that substitutional cation dopants largely facilitate the formation of FE phase of Hafnia. However, existing first-principles studies predict that doping by itself is not sufficient to stabilize the FE polymorph over the ground state monoclinic phase. In order to revaluate this issue we use first-principles density functional theory here1. For simplicity, we consider two extensively studied isovalent cations Si and Zr to study the impact of dopants in the formation of FE phase. Most importantly, our results indicate that Si can adopt a very stable configuration by forming a layer along specific crystallographic axis in the FE phase, but comparatively less so in the nonpolar monoclinic one. Additionally, we find that such kind of dopant arrangement yields a thermodynamically stable FE ground state at low concentrations. In contrast, our results for Zr reveal that, in this case, ordering is not so important and doping itself is not sufficient to revert the relative stability of FE and monoclinic phases. In this contribution, I will present our results in detail. Reference: [1] S. Dutta, H. Aramberri, T. Schenk and J. ĺňiguez, Phys. Status Solidi RRL, 2000047 (2020)

Q.2.4
15:30
Authors : Sebastian Bichelmaier, Jesús Carrete, Georg K. H. Madsen
Affiliations : Institute of Materials Chemistry TU Wien A-1060 Vienna Austria

Resume : As computing power has constantly been increasing, first-principles calculations have been moving closer to applications and materials relevant in industry. However, studying the temperature-dependent behavior of strongly anharmonic solids is still methodologically challenging. Alternatives to the quasi-harmonic approximation, such as higher-order treatment of the force constants, require a drastic increase in computational effort for modest gains, and thus quickly become infeasible. Here we propose an approach based on self-consistent, effective temperature-renormalized phonon spectra and higher-order corrections to the potential energy surface. The introduction of a reweighting procedure allows for the use of unregularized regression methods and enables efficient use of every data point obtained from density functional theory. This makes the approach highly efficient and a promising candidate for large-scale studies of materials and phase transitions. One of these compounds is HfO2, a material of high importance, both as a high-k dielectric and as a ferroelectric. It exhibits a complex potential energy landscape, having several phases close in energy. We detail the implementation of the method above and its application to explore temperature-dependent phases in HfO2.

Q.2.5
15:45 Break (45 min)    
 
Materials & Synthesis II (16:30 - 18:15) : tbd
16:30
Authors : E. Y. Tsymbal
Affiliations : University of Nebraska-Lincoln, USA

Resume : Controlling magnetic properties of a material by electric fields is an important paradigm of low-power spintronics. One of the approaches to realize this control is to exploit the magnetoelectric effect at a ferromagnet/ferroelectric interface where spin-dependent screening of the polarization charge field induces changes in the surface magnetization and surface magnetocrystalline anisotropy of the ferromagnet. Driven by the technological importance of the recently discovered ferroelectric HfO2, this talk will discuss using HfO2 as a ferroelectric constituent to control the surface magnetism of an adjacent ferromagnetic metal. Based on density functional theory modelling of the Ni/HfO2 (001) interface, a sizable magnetoelectric effect is predicted due to the minority-spin electron density depletion and the Ni-O bonding at the interface, both being dependent on ferroelectric polarization orientation [1]. The importance of the interface stoichiometry will be discussed in conjunction with the available experimental data [2], indicating the “reversal” of the magnetoelectric effect caused by Ni oxidation. [1] Q. Yang, L. L. Tao, Z. Jiang, Y. Zhou, E. Y. Tsymbal, and V. Alexandrov, Phys. Rev. Appl. 12, 024044 (2019). [2] A. Dmitriyeva, V. Mikheev, S. Zarubin, A. Chouprik, G. Vinai, V. Polewczyk, P. Torelli, Y. Matveyev, C. Schlueter, I. Karateev, Q. Yang, Z. Chen, L. L. Tao, E. Y. Tsymbal, and A. Zenkevich, submitted paper (2021).

Q.3.1
17:00
Authors : Cheema, S.*(1)
Affiliations : (1) Department of Materials Science and Engineering, University of California, Berkeley, CA, USA

Resume : The two-dimensional (2D) limit of ferroelectricity remains an open question in condensed matter research; in particular, the critical size limit of switchable electric dipoles has extensive implications for the scaling of energy-efficient nanoelectronics [1]. Remarkably, the fluorite-structure HfO2-ZrO2 binary oxide family fosters the of such ferroic order at the atomic-scale regime [2-4]. This talk will cover the demonstration of ultrathin ferroelectric order down to one-nanometer in Zr-doped HfO2 (HZO) films [2,3] and five-angstroms in conventionally antiferroelectric ZrO2 films [4], synthesized by atomic layer deposition directly on Si. These results not only confirm recent predictions of switchable scale-free fluorite-structure ferroelectricity, but also mark the thinnest demonstration of switchable electric polarization, remarkably in a material system conventionally paraelectric. Furthermore, these fluorite-structure films boast ultrathin-enhanced polar distortions [2,4] – in stark contrast to conventional perovskite-structure ferroelectrics – marking a critical breakthrough towards exploiting ferroic-based phenomena at ultra-scaled dimensions. For example, we have implemented ultrathin HZO barriers in ferroelectric tunnel junctions which simultaneously demonstrate ultrahigh tunnel electroresistance and current density [3], promising for resistive switching memory applications. Beyond memory, negative capacitance (NC) [5] has emerged as a promising solution to overcome fundamental energy-efficiency limits in conventional electronics, in which internal ferroelectric order within the gate stack of a field-effect transistor can enable low-power operation [6,7]. Thus far, NC has been primarily demonstrated in thick films, but integration into advanced semiconductor technology nodes will require stabilization at the ultrathin regime. Here, we present evidence of NC in atomic-scale HfO2-ZrO2 heterostructures [8] down to two-nanometers thickness, leveraging our ultrathin ferroic stabilization [2,4]. In contrast to previous reports of NC in thicker ferroelectric HfO2-based films, the microscopic origin of capacitance enhancement over the SiO2 interlayer in these ultrathin HfO2-ZrO2 multilayers arises from mixed FE-AFE order [8], broadening the ferroic origins of NC and providing an atomic-scale materials design framework for future gate oxides. In summary, this approach to exploit 3D materials confined in the 2D limit – particularly within this model fluorite-structure system whose unconventional origins and size effects [2-4] foster the stabilization of such emergent atomic-scale ferroic order – not only offers significant promise for high-density ferroelectric-based nanoelectronics on Si [1,3,8], but also can unlock previously hidden electronic phenomena [2,4,8]. [1] S Salahuddin, K Ni & S Datta. “The era of hyper-scaling in electronics.” Nat. Electron. 1, 442–450 (2018). [2] S Cheema*, D Kwon* […] S Salahuddin. “Enhanced ferroelectricity in ultrathin films grown directly on silicon.” Nature 580, 478–482 (2020). [3] S Cheema*, N Shanker* […] S Salahuddin. “One nanometer HfO2-based ferroelectric tunnel junctions on silicon.” arXiv 2007.06182. [4] S Cheema […] S Salahuddin. “Emergence of ferroelectric order in sub-nanometer ZrO2 films on silicon.” [in preparation] [5] S Salahuddin & S Datta. “Use of Negative Capacitance to Provide Voltage Amplification for Low Power Nanoscale Devices. Nano Lett. 8, 405–410 (2008). [6] D Kwon*, S Cheema* […] S Salahuddin. “Negative Capacitance FET with 1.8-nm-Thick Zr-Doped HfO2 Oxide.” IEEE Electron Device Lett. 40, 993–996 (2019). [7] D Kwon*, S Cheema* […] S Salahuddin. “Near Threshold Capacitance Matching in a Negative Capacitance FET with 1 nm Effective Oxide Thickness Gate Stack.” IEEE Electron Device Lett. 41, 179–182 (2020). [8] S Cheema*, N Shanker* […] S Salahuddin. “Negative capacitance in atomic-scale HfO2-ZrO2 ferroic heterostructures for advanced transistors.” Preprint (Version 1) available at Research Square DOI 10.21203/rs.3.rs-413053/v1.

Q.3.2
17:30
Authors : Jon F. Ihlefeld, Shelby S. Fields, Sean W. Smith, Philip J. Ryan, Samantha T. Jaszewski, Ian A. Brummel, Alejandro Salanova, M. David Henry, Steve L. Wolfley, Giovanni Esteves, Paul S. Davids
Affiliations : University of Virginia; Sandia National Laboratories; Advanced Photon Source, Argonne National Laboratory

Resume : In this presentation, we will discuss device scale evidence of electric field driven phase transformations impacting ferroelectric hanfia device performance as monitored using synchrotron X-ray diffraction. In the as-prepared state, atomic layer deposited 20 nm thick hafnium zirconium oxide capacitors comprise mixtures of ferroelectric and non-ferroelectric phases. With cyclic application of above-coercive-field voltage, these phases undergo transformations that depend on the adjacent electrode materials. Films with noble metal electrodes exhibit direct fatigue that can be traced to a conversion of the ferroelectric orthorhombic to a non-ferroelectric monoclinic phase. Films with tungsten or TaN electrodes exhibit polarization wake-up that can be traced to transformation of non-ferroelectric tetragonal to ferroelectric orthorhombic phases. The transformation from tetragonal to orthorhombic is subtle and is observed as a decrease in the full width at half maximum of the superimposed 111 orthorhombic/101 tetragonal Bragg reflection. Accompanying polarization measurements support the transformations observed. The results will be discussed in the context of the ability of the electrode materials to block or permit oxygen transport and the impact on device design for future electronics.

Q.3.3
17:45
Authors : Anton E. O. Persson, Robin Athle, Johannes Svensson, Mattias Borg, Lars-Erik Wernersson
Affiliations : Department of Electrical and Information Technology, Lund University, Sweden

Resume : The III–V semiconductors offer outstanding electron transport properties, however, the processing thermal budget is in general stricter than Si technology and metal-based structures as the III–V surface may decompose at temperatures exceeding 400°C. Here, ferroelectric HfxZr1−xO2 capacitors have been successfully integrated on the high-mobility III-V semiconductor InAs at <400°C showing an enhanced remanent polarization compared to reference metal-insulator-metal structures. The presence of oxide and interface defects have been investigated by studying trapping dependent hysteresis and frequency dispersion in capacitance-voltage measurements. The narrow bandgap of InAs make cryogenic characterization necessary in order to reduce the influence of the minority carrier response at conventional frequencies. Our results indicate that the electrical properties of the high-κ/InAs interface is preserved and not significantly degraded by the annealing process. An increase in defect density is measured at the crystallization temperature, but the response is modest and seemingly does not increase further at higher annealing temperatures. By applying a unidirectional measurement scheme, we obtain device parameters such as memory window and endurance since it is possible to cancel the charge trapping effects. These findings enable a future integration of ferroelectric HZO on III–V technology platforms, paving way for performance improvements in both high-speed and low-power electronics.

Q.3.4
18:00
Authors : Francesco Delodovici, Paolo Barone, Silvia Picozzi
Affiliations : Consiglio Nazionale delle Ricerche–SPIN, Università degli Studi “G. D'Annunzio,” I-66100 Chieti, Italy ; Consiglio Nazionale delle Ricerche–SPIN, Area della Ricerca di Tor Vergata, Via del Fosso del Cavaliere 100, I-00133 Rome, Italy ; Consiglio Nazionale delle Ricerche–SPIN, Università degli Studi “G. D'Annunzio,” I-66100 Chieti, Italy

Resume : We address the phase transition connecting the high-symmetry paraelectric tetragonal phase of hafnia to its low-symmetry polar orthorhombic phase in terms of a Landau-theory-based approach, by analyzing the symmetry-allowed distortions. By means of first-principles simulations, we find that the polar mode is only weakly unstable, whereas the other two symmetry-allowed distortions are hard modes. While none of the modes, taken alone or combined with one other mode, is able to drive the transition, the key factor in stabilizing the ferroelectric phase is identified as the strong trilinear coupling among the three modes. Furthermore, the experimentally acknowledged importance of substrate-induced effects in the growth of hafnia ferroelectric thin films, along with the lack of a clear order parameter in the transition, suggested the extension of our analysis to strain effects. Our findings suggest a complex behavior of one the two hard modes, which can become unstable under certain conditions, and an overall weakly unstable behavior for the polar mode for all the strain conditions. In any case, a robust result emerges from our analysis: independently of the different applied strain (be it compressive or tensile, applied along the a, b, or c orthorhombic axis), the need for a simultaneous excitation of the three coupled modes remains unaltered.

Q.3.5
Start atSubject View AllNum.
 
Applications I (8:30 - 10:30) : tbd
08:30
Authors : Hyeon Woo Park, Minsik Oh, Cheol Seong Hwang
Affiliations : Seoul National University, Seoul National University, Seoul National University

Resume : The phenomenological Landau-Ginzburg-Devonshire model provided a fundamental background for understanding the peculiar charge-voltage behaviour of ferroelectric (FE) materials. However, the model cannot explain the polarization behaviour of multidomain FE materials. The experimentally observed negative capacitance (NC) effect, which has been interpreted as an emergence of the Landau barrier effect, involved particular conceptual difficulty. This work provides a new conceptual framework (the Park-Oh-Hwang model) to explain the quasi-static NC effect based on the energy formula for a stacked dielectric/ferroelectric (DE/FE) layer structure with an antiparallel domain configuration. The presence of the antiparallel domain configuration may cause the energy-displacement curve of the inhomogeneous Helmholtz energy term to have negative curvature. This is caused by the stray field between the neighbouring domains. This model provides quantitative explanations for the previously reported short-pulse measurement results for various DE/FE material systems, lacking precise interpretations. The model was applied to the cases of (Hf,Zr)O2/Al2O3 and BaTiO3/Al2O3 systems. Given the well-known domain wall energy of BaTiO3 (~1mJ/m3), the model explains the observed charge-boosting effect precisely. However, the possibly very different and unexploited domain wall energy of (Hf,Zr)O2 incurs the difficulty in identifying the similar charge-boosting effect. This presentation will discuss the details of such complication too.

Q.4.1
09:00
Authors : Michael Hoffmann*(1,2), Stefan Slesazeck(2), & Thomas Mikolajick(2,3).
Affiliations : (1) Department of Electrical Engineering and Computer Sciences, University of California, Berkeley, CA, USA (2) NaMLab gGmbH, Dresden, Germany (3) Chair of Nanoelectronics, TU Dresden, Germany * lead presenter

Resume : Negative capacitance is an intriguing phenomenon in ferroelectric materials, which could be used to improve the energy efficiency of electronics beyond fundamental limits. Characterized by opposite changes of the spontaneous polarization and electric field, negative capacitance can be used to amplify a voltage across a positive series capacitance. For applications in nanoscale electronic devices, HfO2- and ZrO2- based (anti)ferroelectrics are most promising due to their unique process compatibility and thickness scalability. Despite the recent successful demonstrations of hysteresis-free negative capacitance in ferroelectric Hf0.5Zr0.5O2 thin films, some open questions remain: How can the observed negative capacitance be reconciled with previously reported nucleation-limited switching dynamics in this material system? Is the negative capacitance effect in Hf0.5Zr0.5O2 caused by intrinsic or extrinsic effects, e.g., by the lateral motion of domain walls? Based on the available experimental evidence, we argue that negative capacitance in HfO2- and ZrO2-based (anti)ferroelectrics shows important characteristics of an intrinsic behavior, which is difficult to explain based on lateral domain wall motion effects. Lastly, we will outline the opportunities and challenges of HfO2- and ZrO2- based (anti)ferroelectrics for applications in future negative capacitance devices.

Q.4.2
09:30
Authors : Moonyoung Jung1*, Hojin Kang1, Dongseok Suh1,2
Affiliations : (1) Department of Energy Science, Sungkyunkwan University, Suwon 16419, Republic of Korea (2) Center for Integrated Nanostructure Physics, Institute for Basic Science, Suwon 16419, Republic of Korea

Resume : Negative capacitance (NC) observed in ferroelectrics is of high interest due to the promising applications such as negative capacitance field-effect transistors (NC-FETs). However, voltage pulse with ~10-6 s width has been widely used for the study on NC due to the technical limitations. So far, the NC effect under faster switching regime, below 10-6 s that is crucial for the application, has not been studied. For the comprehensive understanding on NC and its practical applications, improved measurement method is desired. Here, we propose a measurement configuration that allows detecting NC with voltage pulse width order of 10-8 s, two order of magnitude faster than conventional methods. For the precise measurements, independent ground lines were fabricated 30 μm away from the HfZrO2 (HZO) capacitors. The device was directly connected to a pulse generator and an oscilloscope using specially designed probes that provide two parallel tips for each signal and ground line. We confirmed the new configuration provides precise input voltage pulse applied to the HZO capacitor resulting in the output with the NC effects at the faster switching regime. Finally, by controlling the rising time (dV/dt) of the input voltage pulse, we observed NC effect where the capacitor charging current was sufficiently suppressed. We expect this study opens a pathway to understand NC at the faster switching regime that is essential for the practical applications. Acknowledgments This research was supported by the Industrial Strategic Technology Development Program (20006492) funded by the Ministry of Trade, Industry and Energy (MOTIE, Korea).

Q.4.3
09:45
Authors : Khushwant Sehra (1), Mridula Gupta (1), Manoj Saxena (2) , Pooja C Patil (3), Gaelen Frink (3), Shan Deng (3), Kai Ni (3), and Santosh Kurinec (3)
Affiliations : 1 Department of Electronic Science, University of Delhi South Campus, India. 2 Department of Electronics, Deen Dayal Upadhyaya College, University of Delhi, India. 3 Electrical & Microelectronic Engineering, Rochester Institute of Technology, New York, USA.

Resume : NMOS and PMOS FeFETS have been fabricated in a student run fab, on 1-10 ohm cm base resistivity wafers using 10nm Hafnium Zirconium Oxide (Hf0.5Zr0.5O2) as the gate dielectric and 15 nm of sputtered TiN as the gate electrode. The process flow consists of LOCOS isolated FETs with ion-implanted source and drain regions. A memory window (MW) of ~ 1V in n- and p- FeFETs, fairly constant in the temperature range of 0 to 100C is observed. The switching behavior investigated using different program/erase pulse height and width show faster complete polarization switching in n-FeFETs ( +3.8V, 1 s) compared to that in p-FeFETs (-3.8V, 10 s). However, the DC transfer characteristics (ID-VGS) show different behavior, with larger subthreshold swing (SS) in n-FeFETs compared to that of p-FeFETs suggesting an enhanced interface trapping occurring in n-FeFETs. The n-FeFETS also exhibit higher gate induced drain leakage (GIDL) current. To analyze the asymmetric behavior of the n- and p-FeFETs, device simulations were carried out through Victory TCAD. Literature survey suggests the role of fixed charges and charge trapping at the HZO/SiO2 interfacial layer (IL) interface in modulating the subthreshold characteristics and thus putting a limit on the memory window of the fabricated devices. It was observed that the subthreshold characteristics of the n-FeFETs were more affected due to the charge trapping at the HZO/SiO2(IL) interface suggesting that the electrons are more effectively trapped than the holes. The presence of IL further participates in a tunneling current, courtesy of the charge trapping at the HZO/SiO2 (IL). This results in a charge transfer directly between the HZO and the Si channel and is responsible for modulating the subthreshold characteristics of the n-FeFETs. Another characteristic feature of the fabricated n-FeFETs as observed under accumulation, is the GIDL current, which results from BTBT as a result of strong band bending due to which valence band (VB) electrons tunnel to the conduction band (CB) while generating holes in the VB. The n-FeFETs also demonstrate a characteristic crossing point in the transfer characteristics during the downward sweep, which was also captured in the TCAD simulations. The simulations performed point towards the possibility of the pinning effect due to combination of both the fixed charges and charge trapping at the interface, due to which there is a partial recovery of the polarization dipoles, and consequently, carriers are emitted to the channel at a relatively higher negative electric field during the downward sweep.

Q.4.4
10:00
Authors : Mohit, Eisuke Tokumitsu
Affiliations : Japan Advanced Institute of Science and Technology, 1-1 Asahidai, Nomi, Ishikawa 923-1292, Japan

Resume : Ferroelectric hafnium dioxide thin films have gained significant attention since the first report in 2011[1]. Silicon MOSFETs with hafnium-dioxide-based gate insulator have been most intensively studied for ferroelectric random-access memory applications[2] and steep-slope transistors. However, Si channel ferroelectric gate transistors (FGTs) have several issues such as formation of interfacial layer and charge mismatch between Si channel and polarization of ferroelectric HfO2. On the other hand, it is expected that oxide channel FGTs do not suffer from these problems. Previously, we reported FGTs with In2O3 and ITO channel with ferroelectric Y-doped HZO (Y-HZO) gate insulator [3], where both Y-HZO and ITO layers were fabricated by chemical solution deposition (CSD). In this work, we fabricated FGTs with CSD ITO channel and CSD lanthanum doped hafnium zirconium dioxide (La-HZO) gate insulator. La-HZO films were fabricated by the procedure we previously reported [4]. The source solution was Hf(acac)4.nH2O, Zr(acac)4 and La(acac)3.nH2O mixed together in propionic acid (PrA). La composition was varied from 0 to 20 percent. CSD La-HZO films were crystallized using rapid thermal annealing (RTA) at 800 oC at a reduced pressure of 50 Pa [5]. The thickness of La-HZO was 33 nm. To confirm the ferroelectricity, metal-ferroelectric-metal (MFM) structures were fabricated using Pt top electrode deposited by sputtering. To fabricate FGTs, the metal-ferroelectric-semiconductor (MFS) structures were fabricated using ITO layer formed on 7.5 % La-HZO by CSD. The samples were annealed at 600 oC in N2 or O2 atmosphere. Next, Pt source/drain electrodes were deposited by sputtering and patterned by the lift-off process. Then, the device region was isolated by wet etching. The channel length and width are 5 and 100 µm, respectively. Finally, the bottom gate electrode is accessed by photolithography and etching. Pt/ITO/La-HZO/Pt MFS capacitors were also fabricated to measure the ferroelectric properties. At first, MFM structures of La-HZO films with different La concentrations were fabricated and characterized. It was found that 7.5 % doped La-HZO shows good ferroelectric properties which was confirmed by X-ray diffraction (XRD), polarization – voltage (P-V) and capacitance – voltage (C-V) measurements. Next, we evaluated the ferroelectricity in Pt/La-HZO/ITO/Pt MFS structures for N2 and O2 annealed ITO layers. P-V hysteresis loops with clear switching current response were observed for both N2 and O2 annealed ITO FGTs samples. In addition, C-V curve with clear butterfly-shaped loop was obtained with a decrease in capacitance on the positive voltage side due to the depletion of ITO layer. Drain current-drain voltage (ID-VD) characteristics exhibited a clear pinch-off and current saturation, confirming standard field-effect transistor operation. A large saturated drain current of approximately 40 mA was observed for VG= 6V, which corresponds to 0.4 mA/μm. In addition, counter-clockwise hysteresis due to the ferroelectric nature was confirmed in (ID-VG) characteristics with a memory window of 3V with a high on/off current ratio of 107. References 1. T. S. Böscke et al., Appl. Phys. Lett. 99 102903, 2011 2. T. Miyasako et al., Jpn. J. Appl. Phys. 50 04DD09, 2011 3. Mohit, et al., Jpn. J. Appl. Phys. 60 SBBM02, 2021. 4. Mohit et al., Jpn. J. Appl. Phys. 59 SMMB02 2020. 5. Mohit et al., Jpn. J. Appl. Phys. 59 SPPB03 (2020).

Q.4.5
10:15
Authors : I. Bottala-Gambetta, T. Encinas, S. Coindeau, A. Mantoux, M. Gros-Jean, N. Vaxelaire, J. Coignus, E. Blanquet
Affiliations : I. Bottala-Gambetta : Univ. Grenoble Alpes CNRS, Grenoble INP, SIMaP, 38000 Grenoble, France - STMicroelectronics, 850 rue Jean Monnet, F-38926 Crolles, France - Univ. Grenoble Alpes, CEA, LETI, Grenoble F-38000, France T. Encinas : Univ. Grenoble Alpes CNRS, Grenoble INP, CMTC, 38000 Grenoble, France ; S. Coindeau : Univ. Grenoble Alpes CNRS, Grenoble INP, SIMaP, 38000 Grenoble, France ; A. Mantoux : Univ. Grenoble Alpes CNRS, Grenoble INP, SIMaP, 38000 Grenoble, France ; M. Gros-Jean : STMicroelectronics, 850 rue Jean Monnet, F-38926 Crolles, France ; N. Vaxelaire : Univ. Grenoble Alpes, CEA, LETI, Grenoble F-38000, France ; J. Coignus : Univ. Grenoble Alpes, CEA, LETI, Grenoble F-38000, France ; E. Blanquet : Univ. Grenoble Alpes CNRS, Grenoble INP, SIMaP, 38000 Grenoble, France

Resume : The discovery of ferroelectric behavior in thin film of hafnium oxide was an important step to motivate the searches for FeFET memories applications. However, only the orthorhombic phase (Pca21) of HfO2 is ferroelectric. A heat treatment or a doping element is necessary to stabilize this crystalline phase more efficiently. Nevertheless, integration in microelectronic presents constraints and physical interactions. For the targeted thickness (~10nm), the ALD technique is the suitable method to date. This work mainly focuses on the study of Al, La and Si doping. This is coupled with the study of the impact of the annealing conditions. However, HfO2 or HZO experience a transition to the tetragonal structure with such high temperature. Structural information extracted for X-ray diffraction is correlated the ferroelectric properties obtained by the so-called Positive Up Negative Down (PUND) method. Concerning PUND characterizations, both (MIM) and (MOS) stacks have been measured to address influence of electrodes. Results shows a strong temperature dependance on final crystal phase and ferroelectricity. An evolution from amorphous phase to the monoclinic is observed. Between the two states, a mix of phases with a good ratio of the ferroelectric crystal is detected. Doping element is less impactful, but Si and La seem the most promising elements for FeFET final application. So, integration of new elements or steps in material’s elaboration was done.

Q.4.6
10:30 Break (30 min)    
 
Poster Session (11:00 - 12:00) : tbd
11:00
Authors : N. Volodina*, A. Dmitrieva, A. Chouprik and A. Zenkevich
Affiliations : Moscow Institute of Physics and Technology (National research university), Dolgoprudny, Moscow region, 141700, Russia

Resume : Over the past decade, there has been a sustained research activity in studying ferroelectric (FE) films of doped HfO2, as it possesses characteristics valuable for application in nonvolatile memories. Rapid thermal annealing (RTA) is commonly used for crystallizing initially amorphous Hf0.5Zr0.5O2 (HZO) films, since it allows stabilizing the non-equilibrium orthorhombic (o) phase responsible for FE. Alternatively, the crystallization can be achieved by pulsed laser annealing (PLA). This process creates much higher heating/cooling rates of the material than RTA, and can potentially facilitate the “freezing” of metastable o-phase by suppressing the formation of non-FE monoclinic phase. Also, an important advantage of PLA is its ability to heat structures locally and selectively, which is relevant when using already-fabricated devices on temperature-sensitive substrates. In this study, we investigate the possibility to crystallize FE phase in thin HZO amorphous films by both ns (τ~ 16 ns) and ms (τ ~ 1 ms) PLA using a Nd:YAG laser. FE W/HZO/TiN capacitors with optimized electrode thicknesses fabricated on Si were subjected to PLA. Thus obtained samples were further investigated using standard I-V and PFM methodology to reveal FE properties and domain structure, respectively.

Q.P.1
11:00
Authors : Jun-Cheol Park*(1), Sanghan Lee(1)
Affiliations : School of Materials Science and Engineering, Gwangju Institute of Science and Technology (GIST), Gwangju, 61005, Korea

Resume : The next-generation memory called ‘Ferroelectric Random Access Memory (FE-RAM)’, which is focused on conventional perovskite structure, had been intensively studied. However, these materials have been suffered from Si-compatibility in CMOS, environmental problems (in terms of using Pb), and scaling. Hafnia-based thin films with various dopants that can solve these all problems are discovered and have been studied. Despite this great discovery, there is still a lack of analysis of the mechanism how ferroelectricity emerges related to structure. Although it is necessary to grow single crystalline hafnia based thin films to reveal the relationships between ferroelectricity and structure, it is still challenging to obtain reliable ferroelectric properties. Therefore, we herein investigated the growth of single crystalline hafnia-based thin film depending on several dopants such as Zr, La using pulsed laser deposition (PLD) method. To analyse about crystallinity, crystal structure, and electrical characteristics, we carried out out-of-plane scan using X-ray diffraction (XRD), P-E loops and I-V curves measurement. As a result, this study is expected to find the mechanism how ferroelectricity emerges in hafnia-based thin films.

Q.P.2
11:00
Authors : Anna Dmitriyeva, Andrei Zenkevich
Affiliations : Moscow Institute of Physics and Technology (National research university), Dolgoprudny, Moscow region, 141700, Russia

Resume : Ferroelectric properties discovered in doped HfO2 thin films have opened an opportunity to devise CMOS compatible non-volatile FE memories. Among this class of materials, alloyed Hf0.5Zr0.5O2 is the most popular material, mostly because of the lowest temperature to crystallize the non-centrosymmetric FE phase. At present, atomic layer deposition technique, which is integrated with the modern Si technology production lines, is the dominant technique to grow HfO2 based FE films. Recently, reactive pulsed laser deposition (PLD) has emerged as an alternative technique which enables to grow epitaxial HZO layers. Polycrystalline HZO thin films grown by PLD are supposed to be similar to those produced by Atomic Layer Deposition technique, however, it appears to be not exactly the case. In this work, we present the results of the systematic study of the effect of the reactive PLD growth parameters (O2 partial pressure, growth T) and post-deposition heat treatments (T) on the physical and functional properties of polycrystalline ferroelectric HZO films, such as phase composition of HZO films, leakage currents, coercive electric field, polarization value, endurance, retention, as well as possible imprint effects.

Q.P.3
11:00
Authors : Mohammed Bilal HACHEMI [1,2] Mohammed Zeghouane [1] Bassem SALEM [1] Vincent Consoni [3] Hervé Roussel [3] Skandar BASROUR [2] Ahmad BSIESY [1]
Affiliations : [1] LTM Laboratory, Univ. Grenoble Alpes, CNRS, CEA/LETI Minatec, LTM, Grenoble, 38054, France [2] TIMA Laboratory, Univ. Grenoble Alpes, CNRS, Grenoble INP*, TIMA, 38000 Grenoble, France [3] LMGP Laboratory, Univ. Grenoble Alpes, CNRS, Grenoble INP, LMGP, 38000 Grenoble, France

Resume : Hafnium Zirconium Oxide (HZO) is one of the most promising lead-free candidates of PZT (Lead Zirconate Titanate) due the recent discovery of its ferroelectric properties and the successful integrations of the materials especially for the ferroelectric memories. Nevertheless, the full comprehension of ferroelectricity in hafnia-based films is not achieved yet regarding the optimal material stack and process parameters for the best properties. In this work, the role of titanium nitride (TiN) electrodes on the ferroelectric behavior of hafnium zirconium oxide thin films, obtained by single-target sputtering, was investigated. Additionally, the different electrodes’ parameters have been studied (e.g., thickness, roughness, deposited by atomic layer deposition or sputtering or w/o capping electrode) as well as the different rapid annealing parameters (annealing atmosphere, temperature, pressure and annealing ramp). The thickness of electrodes ranges from 10 nm to 200 nm, while the HZO film’s thickness ranges from 5 to 100 nm. We studied the physical, structural and electrical properties of HZO films incorporated in metal-insulator-metal structures. All TiN/HZO/TiN stacks showed a structural signature of the ferroelectricity, correlated to P-E (polarization-electric field) and PFM (Piezoelectric Force Microscopy) measurements.

Q.P.4
11:00
Authors : Robin Athle*, Anton E. O. Persson, Austin Irish, Heera Menon, Rainer Timm, Mattias Borg* *Corresponding Authors
Affiliations : Lund University, Faculty of Engineering - Electrical and Information Technology

Resume : Ferroelectric memories based on hafnium oxide are an attractive scalable and energy-efficient alternative to conventional memory devices, in particular for neuromorphic applications. Hafnium dioxide is an especially promising ferroelectric material because it is compatible with complementary metal oxide semiconductor technology, has a significant coercive field and large remanent polarisation (Pr). However, there are still many open questions regarding the optimal material stack and processing conditions for reliable device performance. In order to achieve ferroelectric properties, crystallization into the orthorhombic (o-) HfO2 phase is necessary, and this can be strongly affected by the choice of top electrode (TE) material. But even with TiN, the most used TE, strong variations in the ferroelectric behaviour are observed. Thus, deeper insight is required for the reproducibility of ferroelectric HfO2 in practical applications. Here we shed light on the impact that the sputtering process conditions of the TiN TE has on the ferroelectric properties of Hf1-xZrxO2. We highlight the importance of tuning the texturing and composition of the top electrode in achieving high remanent polarization and improved endurance. Metal-oxide-semiconductor (MOS) devices of TiN/ Hf1-xZr1-xO2/Al2O3/InAs are fabricated using ALD and reactive RF-sputtering. A combination of electrical (PUND & endurance) and structural characterization (GIXRD) is employed. The pressure during the TiN TE deposition is altered and the impact of using additional nitrogen during deposition is evaluated. We observe a transition to almost exclusively (111) texturing at high TiN sputtering pressure. With the inclusion of N2 in the process gas, we instead observed a strong (002) texturing. Samples with dominant (111) texturing have a significantly stronger Hf1-xZr1-xO2 o-phase signal and correspondingly higher Pr. We thus observe a strong correlation between the crystallographic texturing of the TiN top electrode and the resulting Pr in Hf1-xZr1-xO2. Limitations in ferroelectric cycling endurance is believed to stem from the formation and accumulation of oxygen vacancy defects. With Ti being a known oxygen scavenger, it can therefore be beneficial to deposit a N-rich TiN to minimize this effect. The impact of the processing conditions on the cycling performance is evaluated, and we see an improvement in endurance with added N2 during TE sputtering. X-ray absorption spectroscopy performed at the FlexPES beamline at MAX-IV reveal molecular nitrogen in the HfZrO2 film and electron dispersive spectroscopy indicate a higher nitrogen content in the TiN top electrode. These findings explain the improved endurance properties and significant Pr despite (002) textured TiN. Finally, with capacitance-voltage measurements we compare the frequency dispersion per decade in accumulation, and find a decreased dispersion when the TE is deposited with additional N2, indicating a reduced trap density near the semiconductor interface. These results highlight the importance of the TE deposition conditions in tuning ferroelectric properties of Hf1-xZr1-xO2 devices. The ability to alter the remanent polarization and improve the endurance is critical in enabling good reproducibility in practical applications.

Q.P.5
12:00 Break (120 min)    
 
Applications II (14:00 - 16:00) : tbd
14:00
Authors : Taeho Kim, Junghyeon Hwang, Giuk Kim, Minhyun Jung and Sanghun Jeon
Affiliations : School of Electrical Engineering, Korea Advanced Institute of Science and Technology (KAIST),

Resume : Since the discovery of fluorite-structure oxides with ferroelectricity, especially doped-HfO2, the ferroelectric field effect transistor (HfO2-FeFET) has attracted huge attention as an emerging memory device. One of the serious challenges of the HfO2-FeFETs is their limited endurance, which has been attributed to the degradation of the interface layer before the polarization fatigue of the HfO2-ferroelectric layer. The main mechanisms of the interface layer degradation of FeFETs represented by metal-ferroelectric-insulator-semiconductor (MFIS) are charge injection/trapping and interfacial defect generation, which is mainly induced by high electric field through the interfacial dielectric layer. In this work, we propose strategies to minimize the interfacial electric field by approaching from two viewpoints of ferroelectric material properties and device structure. In this study, we present a structural approach and ferroelectric characteristics of a device that can have a larger dielectric capacitance compared to ferroelectric capacitance to improve the memory window and reliability characteristics. When it comes to 1T-1C type FeRAM, material and process are designed to have a high spontaneous polarization (Ps) value. However, for large memory window and reliable 1T type FeFET, it requires a certain value of spontaneous polarization value. We found that MFMIS (metal-ferroelectric-metal-insulator-semiconductor) FeFET with a three-dimensional channel structure is very effective to improve the memory window and reduce the electric field through the interfacial layer. In particular, the latter contributes greatly to improving reliability characteristics.

Q.6.1
14:30
Authors : Nikitas Siannas, Christina Zacharaki, Polychronis Tsipas, Stefanos Chaitoglou, Cosmin Istrate, Lucian Pintilie
Affiliations : NCSR DEMOKRITOS, Athens, Greece; National Kapodistrian University of Athens, Department of Physics, Athens, Greece; NIMP, Bucharest, Romania

Resume : Scaling of Hf1-xZrxO2 (HZO) ferroelectric (FE) thickness has scientific and technological interest, especially for low voltage/low power FTJ non-volatile memories (NVM). Using Ge as bottom electrode offers larger screening length and clean crystalline interfaces necessary for efficient, low power operation of FTJs. According to our calculations based on Brinkman’s model [1] remanent polarization Pr and HZO thickness window of 20 μC/cm^2 and 3-4 nm, respectively, are the most optimal parameters for acceptably high values of TER >70 and JON ~ 1 A/cm^2. However, aggressive scaling down to 3 nm, is problematic because the FE switching characteristics degrade due to extrinsic effects such as the depolarizing fields originating from interfacial (dead) layers. We have used plasma assisted MBE to grow in-situ Metal Ferroelectric Semiconductor (MFS) device layer structure Ge(100)/HZO/TiN (x~ 0.5), as previously reported [2-4]. Thickness scaling to 5-nm-thick films gives robust ferroelectricity after wake up with high Pr ~ 22 μC/cm^2 and low coercive voltage Vc~1.1-1.4 V, satisfactory endurance ~4x10^4 at @ 4.4 MV/cm and excellent reliability projected over 10 years with no retention loss and very small imprint ~ 0.2 V for >10^4 sec. However, further scaling below 5 nm, results in pinched, AFE-like characteristics which cannot easily recover to full FE P-V hysteresis after cycling (wake-up). Our analysis using the phenomenological Landau-Ginsburg-Devonshire theory and Landau Khalatnikov (L-K) equations shows that the depolarization field, which becomes significant in thin films, makes ferroelectricity metastable below 5 nm leading to the pinched characteristics. Introduction of polarization-dependent charge injection at interface defect traps in the L-K equations describes satisfactorily in semi-quantitative terms the wake-up behavior leading to fully open P-V hysteresis after cycling. Acknowledgements: The authors acknowledge funding from the EU H2020 framework program under projects BeFerroSynaptic-871737 and 3εFERRO-780302 References 1. W. F. Brinkman, R. C. Dynes, and J. M. Rowell,”Tunneling Conductance of Assymetrical Barriers”, J. Appl. Phys. 41, p.1915-1921, 1970. 2. C. Zacharaki et al. , "Very large remanent polarization in ferroelectric Hf1−xZrxO2 grown on Ge substrates by plasma assisted atomic oxygen deposition" , Appl. Phys. Lett., vol. 114, p. 112901, 2019. 3. C. Zacharaki et al. , "Depletion induced depolarization field in Hf1−xZrxO2 metal-ferroelectric-semiconductor capacitors on germanium" , Appl. Phys. Lett., vol. 116, p. 182904, 2020. 4. C. Zacharaki et al. , "Reliability aspects of ferroelectric TiN/Hf0.5Zr0.5O2/Ge capacitors grown by plasma assisted atomic oxygen deposition" , Appl. Phys. Lett., vol. 117, p. 212905, 2020.

Q.6.3
14:45
Authors : Greta Segantini (1,3), Benoit Manchon (2), Pedro Rojo Romeo (1), Nicolas Baboux (2), Rabei Barhoumi (1), Ingrid Canero Infante (2), Shruti Nirantar (3), Damien Deleruyelle (2), Bertrand Vilquin (1), Sharath Sriram (3)
Affiliations : (1) Université de Lyon, Ecole Centrale de Lyon, Institut des Nanotechnologies de Lyon, CNRS UMR5270, France (2) Université de Lyon, INSA de Lyon, Institut des Nanotechnologies de Lyon, CNRS UMR5270, France (3) Functional Materials and Microsystems Research Group and Micro Nano Research Facility, RMIT University, Australia

Resume : In this work, the Hf_0.5 Zr_0.5 O_2 (HZO) layer was realized by room temperature magnetron sputtering of a HZO ceramic target and subsequently crystallized by rapid thermal annealing [1]. The titanium nitride bottom and top electrodes were grown by reactive magnetron sputtering of a titanium target. We explored the impact of the insertion of an ultra-thin buffer layer at the HZO/top electrode interface on the stabilized crystalline phase, microstructure and electrical properties of thin HZO films. We investigated two materials, Ti and Al. Behind the annealing process Ti and Al turned into TiO_2 and Al_2 O_(3 )respectively, following the creation of oxygen vacancies inside the HZO barrier. The higher concentration of oxygen vacancies promoted by the addition of the buffer layer plays a significant role in the stabilisation of the orthorhombic phase for decreasing HZO thickness. This allowed us to synthesise very thin HZO films with ferroelectric properties. Furthermore we observed a clear improvement of the electrical performances of the n^ Si(001)/TiN/HZO/TiN/Ti/Pt structure. We exploited transmission electron microscopy to investigate the structure and the morphology of the electrode/HZO interfaces. X-ray reflectometry and grazing incidence X-ray diffraction were used to probe the thickness and structural characteristics of HZO layers. X-ray photoemission spectroscopy was used to analyse the chemistry and the electronic state of the HZO/electrode interface. We will discuss our results in the framework of structural, chemical and physical properties of the ferroelectric/electrode interfaces and their effect on the electrical properties of thin HZO-based tunnel junctions. The present optimized stack will eventually be considered for the demonstration of synaptic learning mechanisms for neuromorphic applications. References: [1] J. Bouaziz, P. R. Romeo, N. Baboux, and B. Vilquin, “Huge Reduction of the Wake-Up Effect in Ferroelectric HZO Thin Films,” ACS Appl. Electron. Mater., vol. 1, no. 9, pp. 1740–1745, 2019, doi: 10.1021/acsaelm.9b00367.

Q.6.4
15:00
Authors : Jubin Hazra, Karsten Beckmann, Vineetha Mukundan, Alain C. Diebold, Santosh K Kurinec, Nathaniel Cady
Affiliations : Jubin Hazra, Karsten Beckmann, Vineetha Mukundan, Alain C. Diebold, Nathaniel Cady - College of Nanoscale Science and Engineering, SUNY Polytechnic Institute, Albany, New York 12203, USA; Santosh K Kurinec - Department of Electrical and Microelectronic Engineering, Rochester Institute of Technology, Rochester, NY 14623

Resume : In this work, hafnium zirconium oxide (HZO)-based 100 x 100 nm ferroelectric tunnel junction (FTJ) devices were implemented on a 300 mm wafer platform, using a baseline 65 nm CMOS process technology. FTJs consisting of TiN/HZO/TiN were integrated in between metal 1 (M1) and via 1 (V1) layers. Cross-sectional transmission electron microscopy and energy dispersive x-ray spectroscopy analysis confirmed the targeted thickness and composition of the FTJ film stack, while grazing incidence, in-plane x-ray diffraction analysis demonstrated the presence of orthorhombic phase Pca21 responsible for ferroelectric polarization observed in HZO films. Rietveld analysis on GIXRD data was performed for extraction of various phase contents of deposited HZO film. The extracted remnant polarization (Pr) and coercive field strength (Ec) of fabricated device were 15 µC/cm2 and 2.25 MV/cm, respectively. Current measurement, as a function of voltage for both up and down polarization states, yielded a tunneling electroresistance (TER) ratio of 2.25. The device TER ratio and endurance behavior were further optimized by insertion of thin Al2O3 tunnel barrier layer between the bottom electrode (TiN) and ferroelectric switching layer (HZO) by tuning the band offset between HZO and TiN, facilitating on-state tunneling conduction and creating an additional barrier layer in off-state current conduction path. Investigation of current transport mechanism showed that the current in these FTJ devices is dominated by direct tunneling (DT) at low electric field (E < 0.5 MV/cm) and by Fowler-Nordheim (F-N) tunneling at high electric field (E > 0.5 MV/cm). The modified FTJ device stack (TiN/ Al2O3/ HZO/ TiN) demonstrated an enhanced TER ratio of ~5 (2.2X improvement) and endurance up to 106 switching cycles. The switching endurance characteristics of fabricated FTJ device exhibited wake-up, fatigue effects and breakdown phenomena in the ferroelectric switching layer. Write voltage and pulse width dependent trade-off characteristics between TER ratio and maximum endurance cycles (Nc) was established which enabled optimal balance of FTJ switching metrics. An impressive 300 mm wafer-scale switching yield of >80% was achieved for fabricated FTJ devices demonstrating robustness of fabrication and programming approach used for FTJ performance optimization. We observed that the on/off tunneling current of the FTJ devices are reproducible across full 300mm wafers and intra-die and inter-die variations will be reported. Statistical analysis on FTJ switching properties were performed for a wide range of MIM stacks with varied thicknesses of HZO and Al2O3 layers and an optimal Al2O3/HZO thickness stack was found for optimized FTJ performance. The realization of CMOS-compatible nanoscale FTJ devices on 300mm wafer platform demonstrates promising potential of high-volume large scale industrial implementation of FTJ devices for various non-volatile memory (NVM) applications.

Q.6.5
15:15
Authors : Benoit Manchon(1)(2)(3)Greta Segantiniâ(4), Nicolas Baboux(1), Pedro Rojo Romeo(4), Rabei Barhoumi(4), Ingrid C. Infante(1), Fabien Alibart(4), Bertrand Vilquin(4), Dominique Drouin(2)(3), Damien Deleruyelle(1)
Affiliations : (1) Université de Lyon, INSA de Lyon, Institut des Nanotechnologies de Lyon, CNRS UMR5270, France, benoit.manchon@insa-lyon.fr (2) Institut Interdisciplinaire d’Innovation Technologique (3IT), Université de Sherbrooke, Sherbrooke, Québec, Canada (3) Laboratoire Nanotechnologies Nanosystèmes (LN2) CNRS UMI-3463 Université de Lyon, Ecole Centrale de Lyon, Institut des Nanotechnologies de Lyon, CNRS UMR5270, France (4) Institute of Electronics, Microelectronics and Nanotechnology (IEMN), Université de Lille, Villeneuve d’Ascq, France

Resume : Ferroelectric Tunnel Junctions (FTJ), which can modulate their electro-resistance depending on the polarisation configuration, have demonstrated multi-state, synaptic behaviour [1]. Hf0.5Zr0.5O2 (HZO) based FTJs are an ideal solution for the industrial implementation of brain inspired computing thanks to the low annealing temperature of HZO and its full compatibility with industrial processes. In this work, we present the development of HZO-based FTJs on silicon substrates and their electrical characterisation. A TiN/HZO/Al2O3/TiN structure was fabricated by reactive magnetron sputtering with 11 nm of HZO crystallised using rapid thermal annealing [2,3]. The dielectric layer of Al2O3 was formed from the deposition of Al and the scavanging of oxygen from the HZO layer, leading to increased conductance and enhancing the asymmetry of the junction to reach higher electro-resistance values. The structural properties were investigated by X-ray reflectometry and grazing incidence X-ray diffraction. Positive-Up-Negative-Down measurements with engineered pulse parameters along with quasi-static current-voltage measurements were conducted to evaluate and control the ferroelectric switching of the devices together with their electro-resistance. Cycling measurements were carried out to investigate the evolution of the polarisation and of the resistance ratio until breakdown. Dominant conduction mechanisms across the junction were evaluated by means of in temperature current-voltage measurements and modelling. References: [1] Boyn, S., Grollier, J., Lecerf, G. et al., Nat Commun 8, 14736 (2017). [2] Bouaziz, J. et al., APL Materials 7, 081109 (2019). [3] Bouaziz, PR Romeo, N Baboux, B VilquinACS Applied Electronic Materials 1, 1740-1745 (2019)

Q.6.6
15:30 Break (30 min)    
 
Advanced Analysis (16:30-18:15) : tbd
16:30
Authors : A. Gruverman,1* P. Buragohain,1 A. Erickson,2 T. Mittmann,3 P. Kariuki,3 C. Richter,3 T. Schenk,3 T. Mikolajick,3 and U. Schroeder3
Affiliations : 1 Dept. of Physics and Astronomy, University of Nebraska, Lincoln, NE, USA; 2 Dept. of Mechanical and Materials Engineering, University of Nebraska, Lincoln, NE, USA; 3 NaMLab gGmbH/TU Dresden, Noethnitzer Str. 64, 01187 Dresden, Germany

Resume : Application of HfO2-based films to ferroelectric memory and logic devices has generated considerable interest as they allow overcoming significant problems associated with poor compatibility of perovskite ferroelectrics with CMOS processing. However, detailed studies of such application-relevant properties as imprint and polarization switching dynamics with respect to the electrode material and processing condition are still sparse. Here, we use a combination of Piezoresponse Force Microscopy (PFM) and pulse switching techniques to analyze the time- and field-dependent evolution of the domain structure in HfO2-based thin film capacitors. Switching spectroscopy-PFM (SS-PFM) maps revealed the electrode-dependent spatial variations in the local potential landscape, which strongly affect the domain switching kinetics. It is shown that stronger oxidation reduces the internal imprint bias while also leading to an increase in the remanent polarization. Development of “fluid imprint” determined by the sample switching pre-history is reported. A particularly slow polarization reversal in the absence of an external field - termed as inertial switching - due to the interface entrapment of the charge injected during pulse application is shown to have a strong impact on polarization retention.

Q.7.1
17:00
Authors : Shimizu, T.*(1), Tashiro Y.(2), Takanori M.(2) Shiraishi T.(2,3) Kiguchi T.(3) Konno T.J.(3) Sakata O.(4), Funakuobo H.(2)
Affiliations : (1)National Institute for Materials Science, Japan (2)Tokyo Institute of Technology, Japan (3)Tohoku University, Japan (4)Japan Synchrotron Radiation Research Institute, Japan* lead presenter

Resume : The ferroelectricity in HfO2 gathered much attention due to their high potential for the highly integrated device applications because HfO2-based materials have been employed as high-k insulators. It is widely accepted that the ferroelectricity in HfO2 stems from the non-centrosymmetric and metastable orthorhombic phase. The phase formation of orthorhombic phase has been discussed in terms of the size effect, doping, strain and kinetic mechanism during the thermal treatment process. Most of the studies are performed with the polycrystalline films, which is appropriate for the device applications. In contrast, epitaxial films, which have well-defined orientation for both in-plane and out-of-plane directions, are suitable for the scientific studies, particularly crystallographic studies. We have developed series of Y-doped Hf1-xZrxO2 epitaxial films with ferroelectricity. Recently, we have reported the structure change including domain switching and phase transition by electric field, which give rise to ferroelectricity. In this presentation, we introduce our studies of accomplishment of the ferroelectricity in HfO2-based materials using epitaxial films.

Q.7.2
17:30
Authors : Albert Minj1, Jill Serron1, Liam Collins2, Umberto Celano1,3
Affiliations : 1 IMEC, Kapeldreef 75, B-3001 Leuven, Belgium 2 Center for Nanophase Materials Sciences, Oak Ridge National Laboratory, 1 Bethel Valley Rd., Oak Ridge, TN 37830, USA 3 Faculty of Science and Technology and MESA Institute for Nanotechnology, University of Twente, Enschede, The Netherlands

Resume : Considered the main building block for emerging devices such as ferroelectric (FE) memory and steep slope negative-capacitance field-effect transistor, doped hafnia (HfO2) has received a great share of attention after its recent discovery.1–3 While the origin of a polar non-centrosymmetric FE state in HfO2 has been explained by a multitude of physical mechanisms, including the occurrence of FE phase stabilization by surface and size effects, doping- and strain-induced polymorphic phases.4,5 For thin films, the major role played by crystalline phases, grains size and distribution on the overall device performance, creates a large gap between physical and electrical characterization of FE-HfO2 devices. The direct observation of FE response at the local scale remains key for the development of the entire field, and too often represents a challenge for state-of-the-art materials characterization methods. Among these, scanning probe microscopy (SPM) techniques are ideally suited for the local probing of piezo- and ferro-effects and have been largely explored in recent past. Here, we review some of the most commonly available methods including piezoresponse force microscopy (PFM) and various resonant and interferometric implementations, reporting on pros and cons of their application in ultra-thin HfO2-based ferroelectrics layers. References (1) Böscke, T. S.; Müller, J.; Bräuhaus, D.; Schröder, U.; Böttger, U. Ferroelectricity in Hafnium Oxide Thin Films. Appl. Phys. Lett. 2011, 99 (10), 0–3. https://doi.org/10.1063/1.3634052. (2) Hwang, C. S.; Mikolajick, T. Ferroelectric Memories. In Advances in Non-Volatile Memory and Storage Technology; Elsevier, 2019; pp 393–441. https://doi.org/10.1016/B978-0-08-102584-0.00012-7. (3) Mikolajick, T.; Schroeder, U.; Slesazeck, S. The Past, the Present, and the Future of Ferroelectric Memories. IEEE Trans. Electron Devices 2020, 67 (4), 1434–1443. https://doi.org/10.1109/TED.2020.2976148. (4) Hoffmann, M.; Schroeder, U.; Schenk, T.; Shimizu, T.; Funakubo, H.; Sakata, O.; Pohl, D.; Drescher, M.; Adelmann, C.; Materlik, R.; et al. Stabilizing the Ferroelectric Phase in Doped Hafnium Oxide. J. Appl. Phys. 2015, 118 (7). https://doi.org/10.1063/1.4927805. (5) Park, M. H.; Lee, Y. H.; Kim, H. J.; Schenk, T.; Lee, W.; Kim, K. Do; Fengler, F. P. G.; Mikolajick, T.; Schroeder, U.; Hwang, C. S. Surface and Grain Boundary Energy as the Key Enabler of Ferroelectricity in Nanoscale Hafnia-Zirconia: A Comparison of Model and Experiment. Nanoscale 2017, 9 (28), 9973–9986. https://doi.org/10.1039/C7NR02121F.

Q.7.3
17:45
Authors : Thomas Szyjka, Lutz Baumgarten, Martina Müller
Affiliations : Forschungszentrum Jülich GmbH, Peter Grünberg Institut (PGI-6), 52425 Jülich, Germany; Universität Konstanz, Fachbereich Physik , 78457 Konstanz, Germany

Resume : Current research on HfO2/ZrO2-based ferroelectric thin films is motivated by the goal to identify their full potential for application as well as the fundamental mechanisms leading to the stabilization of ferroelectricity. In particular, finding interrelations between macroscopic and microscopic properties may provide essential insights. In this talk, we combine chemical and electrical characterization to reveal how the microscopic chemical properties of the interfaces in metal-ferroelectric-metal (MFM) heterostructures determine the macroscopic ferroelectric properties of MFM capacitors. Hard X-ray photoemission spectroscopy (HAXPES) studies, as well as electric field cycling measurements, are performed on similar MFM stacks, yielding "quasi-in operando" information. We directly relate the emergence and absence of ferroelectric properties in HfO2-based capacitors to spectral fingerprints of HfO2/electrode interface properties, oxygen vacancies, and impurities. Depending on the specific growth conditions, we identify different mechanisms of vacancy formation and their electronic interactions, which are considered the root cause for emerging ferroelectricity in HfO2 ultrathin films [1]. We discuss possible mechanisms that can influence the optimal performance and the instabilities in HfO2-based capacitors, such as wake-up, imprint, and fatigue [2, 3]. Recently, we explored how the chosen electrode material directly impacts the distribution of the oxygen(-vacancies) [1,3]. For HfO2 with TiN electrodes, we found that the rapid thermal annealing (RTA) process can lead to the exchange of N and O atoms. In this way, a locally enhanced oxygen vacancy concentration is formed at the interface. Adding a sufficiently thick TiO2 interlayer hamper these processes. By choosing instead an oxide as electrode material, e.g. IrO2, this material can act as an oxygen donor during the annealing step and fill up oxygen vacancies in the HfO2 layer. This mechanism opens up another route for optimizing the vacancy concentration as well as the ferroelectric properties. The talk is based on our recent work: [1] T. Szyjka, L. Baumgarten, T. Mittmann, Y. Matveyev, Ch. Schlueter, T. Mikolajick, U. Schroeder, and M. Müller, ACS Applied Electronic Materials 2, 10, 3152-3159 (2020) [2] L. Baumgarten, T. Szyjka, T. Mittmann, M. Materano, Y. Matveyev, Ch. Schlueter, T. Mikolajick, U. Schroeder, and M. Müller, Appl. Phys. Lett. 118, 032903 (2021) [3] T. Szyjka, L. Baumgarten, T. Mittmann, Y. Matveyev, C. Schlueter, T. Mikolajick, U. Schroeder, and M. Müller, Phys. Status Solidi RRL 18, 2 (2021)

Q.7.4
18:00
Authors : Jordan Bouaziz, Nicolas Baboux, Pedro Rojo Romeo, Bertrand Vilquin
Affiliations : Institut des nanotechnologies de Lyon Université de Lyon, Ecole centrale de Lyon, INSA Lyon, CNRS Ecully, France

Resume : We report the fabrication of two samples deposited by magnetron sputtering with excellent performances, quite similar to samples deposited by ALD. The samples are called NM, and M. NM and M refers to two different architectures, respectively non-mesa and mesa structures. Fabrication and architecture details can be found in reference [1]. The set-up for electrical measurements have been described in reference [2, 3]. This set-up allows us to wake the samples with bipolar square pulses. Measurements are performed with a positive up negative down (PUND) sequence. Pr values are among the highest for samples deposited by sputtering. Although the N-sample and NM-samples show very close Pr values, the two samples show completely different electrical behaviors. During cycling, the increase of Pr value for the NM-sample is more than an order of magnitude higher than the M-sample. It is accompanied by a decrease of the endurance which is two order of magnitude higher for the NM-sample than for the M-sample. As electrical behaviors are not the same, for low stress conditions M-sample has a higher Pr value during cycling whereas for high stress conditions NM-sample has a higher Pr value during cycling. As a matter of fact, it has been proven that maximum Pr values are more sensitive to stress conditions than the structures themselves. The origins of the different electrical behaviors come from the micro-crystalline structures of the two samples, according to GIXRD results. The crystallization takes place during the annealing step. During annealing, M-sample is built with a TiN TE fully covering the HZO layer whereas the TiN covers only partially the HZO layer in case of the NM-sample. It induces different stress states which lead to two different micro-crystalline patterning. The M-sample shows no monoclinic peak, whereas the NM-sample shows many monoclinic orientations. It can explain the huge reduction of the wake-up effect. [1] J. Bouaziz, P.R. Romeo, N. Baboux, B. Vilquin, ACS Appl. Electron. Mater. 1, 1740 (2019). [2] J. Bouaziz, P. Rojo Romeo, N. Baboux, R. Negrea, L. Pintilie, B. Vilquin, APL Mater. 7, 081109 (2019). [3] J. Bouaziz, P.R. Romeo, N. Baboux, B. Vilquin, Appl. Phys.Lett. 118, 082901 (2021).

Q.7.5
Start atSubject View AllNum.
10:30 Global E-MRS 3-min Thesis Competition (10:30 - 13:00): see https://www.european-mrs.com/meetings/2021-fall/three-minute-thesis-competition    
13:00 Break (60 min)    
 
Applications III (14:00-15:45) : tbd
14:00
Authors : Mircea Dragoman1, Martino Aldrigo1, Daniela Dragoman2,3, Sergiu Iordanescu1, Adrian Dinescu1, Mircea Modreanu4
Affiliations : 1National Institute for Research and Development in Microtechnologies (IMT Bucharest), Erou Iancu Nicolae Street 126A, 077190 Voluntari (Ilfov), Romania 2Univ. of Bucharest, Physics Faculty, P.O. Box MG-11, 077125 Bucharest, Romania 3Academy of Romanian Scientists, Splaiul Independentei 54, 050094 Bucharest, Romania 4Tyndall National Institute-University College Cork, Lee Maltings, Dyke Parade, Cork, Ireland

Resume : One of the major impacts of the discovery of HfO2- based ferroelectrics is the design and the fabrication of CMOS compatible high-frequency tunable devices such as phase-shifters, antenna arrays or filters with a high degree of tunability and miniaturization working at very low DC voltages. In contrast, these devices fabricated on classical ferroelectrics are not CMOS compatible and works at tens of V, and thus were abandoned as tunable devices for high frequency applications. 5G and 6G communications and IoT require tunable microwave and THz devices to work at biases provided by batteries, so the high frequency devices based on CMOS compatible HfO2-based ferroelectrics could be a solution to the hurdles of the modern communications where billions of receivers must be fabricated in a single year at moderate prices. The authors acknowledge the financial support via project no.. PN-III-P4-ID-PCCF-2016-0033 –GRAPHENEFERRO.

Q.8.1
14:30
Authors : Roozbeh Tabrizian
Affiliations : Department of Electrical and Computer Engineering, University of Florida

Resume : The electromechanical transduction in ferroelectric (Hf,Zr)O2 films grown by atomic-layer-deposition (ALD) enables a new generation of high quality-factor (Q) nano-acoustic components for microwave frequency control applications [1-3]. Benefiting from extreme thickness scalability to sub-10nm, while sustaining a large electromechanical transduction efficiency, ALD (Hf,Zr)O2 facilitates creation of integrated nano-acoustic resonators, filters, and oscillators with super- and extremely-high frequencies (i.e., SHF: 3-30 GHz and EHF: 30-300 GHz), for the first time. Besides this game-changing character, ALD (Hf,Zr)O2 provides a wide set of unique features favorable for creation of integrated and adaptive filters and oscillators that are critically needed in modern electronic systems. These features include the intrinsic tunability and switchability of dielectric and piezoelectric constants, outstanding processing compatibility for CMOS integration, and tailorable electromechanical transduction over linear and nonlinear regimes. This talk presents an overview of the opportunities and challenges with exploiting (Hf,Zr)O2 transducers for microwave nano-acoustic components. The fundamental limitations in creating membrane-based (Hf,Zr)O2 bulk acoustic wave resonators will be discussed. The novel Ferroelectric-on-Semiconductor Fin Bulk Acoustic Resonator (FoS-FinBAR) technology [4], enabled by conformal ALD of (Hf,Zr)O2 transducer on silicon-germanium (SixGey) nano-fins, will be introduced. The transforming impact of (Hf,Zr)O2-on-SixGey FinBARs for creation of the first chip-scale configurable filter array for cm- and mm-wave software-defined radios will be discussed. Finally, the first integrated instinctually adaptive microwave components, based on the use of nonlinear electrostrictive effect in (Hf,Zr)O2 films, will be introduced and the potential of these components in transforming wireless communication systems will be discussed. [1] M. Ghatge, G. Walters, T. Nishida, and R. Tabrizian, “An ultrathin nanoelectromechanical transducer based on hafnium zirconium oxide,” Nature Electronics, 2 (8), pp. 506-512. [2] M. Ghatge, G. Walters, T. Nishida, and R. Tabrizian, “A 30-nm thick integrated hafnium zirconium oxide nano-electro-mechanical membrane resonator”, Applied Physics Letters, vol. 116 p. 043501, Feb. 2020. [3] F. Hakim, M. Ghatge, and R. Tabrizian, “Excitation of High-Frequency In-Plane Bulk Acoustic Resonance Modes in Geometrically Engineered Hafnium Zirconium Oxide Nano-Electro-Mechanical Membrane,” Applied Physics Letters, vol. 117, p. 063502, August 2020. [4] F. Hakim, T. Tharpe, and R. Tabrizian, "Ferroelectric-on-Si Super-High-Frequency Fin Bulk Acoustic Resonators With Hf0.5Zr0.5O2 Nanolaminated Transducers," in IEEE Microwave and Wireless Components Letters, vol. 31, no. 6, pp. 701-704, June 2021.

Q.8.2
15:00
Authors : Tingfeng Song, Saúl Estandía, Huan Tan, Nico Dix, Jaume Gàzquez, Ignasi Fina, Florencio Sánchez
Affiliations : Institut de Ciència de Materials de Barcelona (ICMAB-CSIC), Campus UAB, Bellaterra 08193, Barcelona, Spain

Resume : Epitaxial stress has been demonstrated to be an effective tool to tune the amount of ferroelectric orthorhombic phase [(111)-oriented] and monoclinic paraelectric phase in Hf0.5Zr0.5O2 films. In addition, ferroelectric films almost free of monoclinic phase have been obtained in films on tensely strained bottom electrodes. This allows to study the intimate relation between the ferroelectric/paraelectric phase ratio and the intrinsic properties of ferroelectric orthorhombic phase, respectively. Polarization, piezoelectric, retention and endurance properties of films having different ferroelectric/paraelectric phase ratio will be shown. Remarkably, phase pure films do not show a good balance among the different characterized properties, whereas the balance is better in films with coexistence of ferroelectric and non-ferroelectric phases. Precisely, one of the bottleneck properties of ferroelectric hafnium oxide films, endurance, is enhanced in films with presence of parasitic monoclinic phase. The mechanisms that produce the non-desired endurance reduction in phase pure films will be discussed.

Q.8.3
15:15
Authors : Xuetao Wang *(1), Matthias Grube (1), Thomas Mikolajick (1)(2).
Affiliations : (1) NaMLab gGmbH, Nöthnitzer Str. 64a, 01187 Dresden, Germany (2) Chair of Nanoelectronics, TU Dresden, 01062 Dresden, Germany * lead presenter

Resume : As a promising replacement of conventional perovskite ferroelectrics (FEs), HfO2-based FEs provide intriguing scalability down to nano-scale and excellent compatibility with complementary metal–oxide–semiconductor (CMOS) processes. [1] With the help of well-developed fabrication method, HfO2-based memory devices can be integrated into both front-end-of-line (FEOL) and back-end-of-line (BEOL), which require different thermal budgets. For example, FE field-effect transistor [2] in FEOL integration has to tolerate 1000°C up to tens of seconds. BEOL desires FE capacitor [3] and tunnel junction [4] to stabilize FE-phase at 400 – 450°C for up to 1 hour or longer. While most pioneers utilized atomic layer deposition (ALD) for HfxZr(1-x)O2 (HZO) system, sputtering exhibits a number of advantages [5]: reproducible, cost-effective, no carbon contamination from precursor residual, and room-temperature deposition instead of 250 – 300°C. Moreover, the metal-FE-metal (MFM) stack can be processed without breaking the vacuum by employing the sputtering cluster, which was done in this work. However, previous work indicated that 600°C or higher temperature annealing was necessary to provoke pronounced FE properties. [6-7] In this work, we investigated TiN/HZO/TiN thin-film capacitors. As a start point, pure HfO2 was sputtered by varying the sputtering power. Grazing incidence X-ray diffraction (GIXRD) pattern indicates a phase transformation from higher- to lower-symmetry, which was also supported by a change in dielectric behavior. In a second step, the Zr dopant was introduced and tuned by adjusting the power ratio during co-sputtering of HfO2 and ZrO2 targets. The optimal composition was found to be ca. 13% Zr concentration, with a corresponding pristine remanent polarization 2Pr of 16µC/cm² and a maximum 2Pr of 25µC/cm² after 1e4 cycles. After that, the annealing temperature T was reduced starting from 1000°C, 10s. GIXRD shows that lower T still yields higher fractions of the tetragonal/cubic phase until an amorphous film was observed at 500°C, 20s. For 5 min, T as low as 500°C still resulted in a fully crystalline HZO film. For a duration of 1 h, T can be reduced to as low as 400°C for crystallizing HZO, reaching ca. 2Pr of 9µC/cm² after 1e4 cycles. Based on those, our future work will continue to optimize the crystallization process, making sputtered HZO more thermally compatible with BEOL processes. Reference [1] Schenk, T., et al. (2020). Reports on Progress in Physics, 83, 086501. [2] Mikolajick, T., et al. (2014). Advances in Science and Technology, 95, 136–145. [3] Francois, T., et al. (2019). 2019 IEEE International Electron Devices Meeting (IEDM), 15.7.1-15.7.4. [4] Luo, Q., et al. (2020). Nature Communications, 11, 1391. [5] Bouaziz, J., et al. (2019). ACS Applied Electronic Materials, 1(9), 1740–1745. [6] Bouaziz, J., et al. (2019). APL Materials, 7(8), 081109. [7] Mittmann, T., et al. (2019). Advanced Materials Interfaces, 6(11), 1900042.

Q.8.4
15:30
Authors : Yoandris Gonzalez.*(1), Azza Hadj Youssef (1), Rajesh Katoch (1), Andreas Dörfler (1), Sam Netzke(2), Stephen Urquhart(2), Dominique Drouin(3), Andranik Sarkissian(4), & Andreas Ruediger (1).
Affiliations : (1) Institut National de la recherche scientifique, centre Énergie, Matériaux, Télécommunications, Canada (2) University of Saskatchewan, Canada (3) University of Sherbrooke, Canada (4) Plasmionique Inc., Canada * lead presenter

Resume : We report on the effect of oxygen partial pressure on the resistive switching properties of Hf0.5Zr0.5O2-based ferroelectric tunnel junctions deposited by RF-magnetron sputtering. The observations are interpreted in the framework of direct tunneling, trap assisted tunneling and valency-change resistive switching. Films of thicknesses in the appropriate range for direct tunneling and thermionic charge transport are deposited by RF-magnetron sputtering. The resistive switching properties noticeably depend on the oxygen partial pressure of the process gas during deposition of Hf0.5Zr0.5O2 layer, indicating deviations from the idealized direct tunneling behavior and indicating an active role of oxygen vacancy contributions in the as grown sample and upon repetitive switching. The structural characterization of these films is accomplished by grazing incidence XRD and AFM topography scan, while the functional properties for operation as a ferroelectric tunnel junction memory are investigated by both quasi-static and pulsed current-voltage curves.

Q.8.5
15:45 Q&A Session / Break (45 min)    
16:30 Global Plenary Session of E-MRS (16:30 - 18:30): see https://www.european-mrs.com/meetings/2021-fall/plenary-session    
18:30 3-min Thesis Competition Award Ceremony (18:30 - 18:45)    
Start atSubject View AllNum.
 
Industry Session I (08:30-10:30) : tbd
08:30
Authors : Jae-Gil Lee
Affiliations : SK hynix Inc., Incheon-si, Gyeonggi-do, Republic of Korea

Resume : Hafnium oxide (HfO2)-based ferroelectric film have received great attention for use in memory applications because it is possible to scale down the thickness of the ferroelectric film unlike perovskite-based ferroelectric materials. The decrease in remanent polarization when reducing the thickness of the perovskite-type ferroelectric film makes it difficult to make nanoscale devices that is required for high density integration. Ferroelectric Si-doped HfO2 thin film was discovered, leading to the opportunity to overcome scaling limitations. It is confirmed that the thickness of the ferroelectric film is able to scale down below 5nm. There also are competitive advantages including ALD and CMOS compatible process, compared to the previous ferroelectric material. Therefore, the ferroelectric thin film could be applicable for a wide range of memory device applications, for example, a 1T1F FRAM, a lateral 2D FeFET, a vertical 3D FeFET, and a ferroelectric tunnel junction, etc. In this talk, the fundamental material properties of the ferroelectric HfO2 with various dopants will be comprehensively discussed. Then, the operation principle, the impact, and the technical challenges will be introduced for each device from the industrial point of view. In addition, i will focus on the operation and reliability issues of MFS-based FeFET in depth to verify its potential as a future memory device.

Q.9.1
09:00
Authors : Shingo Yoneda
Affiliations : Murata Manufacturing Co., Ltd., 1-10-1 Higashikotari, Nagaokakyo-shi, Kyoto 617-8555, Japan

Resume : Dielectrics with both high resistivity and high dielectric permittivity are becoming increasingly important for developing downsized capacitors and high temperature capacitors. (Hf,Zr)O2-based dielectrics, well-known dielectrics with high resistivity, have attracted considerable attention owing to their ferroelectricity. Moreover, particular compositions of (Hf,Zr)O2-based films show a double-hysteresis polarization-electric field (P-E) curve. Owing to the double-hysteresis P-E curves, (Hf,Zr)O2-based films are candidate capacitors for use under a high DC bias electric field because the dielectric permittivity increases with the DC bias electric field. In terms of capacitor application, the stability of dielectric properties of (Hf,Zr)O2-based films is important. The polarization behavior of (Hf,Zr)O2-based films is easily affected by the film composition, process condition, measurement hysteresis, environmental temperature, and so on. We aim to control the stability of these dielectric properties by material design. In this study, (Hf,Zr)O2-based films were fabricated by chemical solution deposition (CSD) method. The CSD method enables better film stoichiometry than the atomic layer deposition method. The polarization responses change with the change of the crystal structures, and double-hysteresis P-E curves appear at the compositions in which the tetragonal phase is stabilized. These observations indicate that the careful control of the crystal structures is important for capacitor applications. Detailed dielectric properties and electrical reliability of the (Hf,Zr)O2-based films with elemental substitution will be discussed.

Q.9.2
09:30
Authors : Petr A. Khomyakov1, Tue Gunst1, Jess Wellendorff1, Anders Blom2
Affiliations : 1 Synopsys Denmark, Fruebjergvej 3, 2100 Copenhagen, Denmark 2 Synopsys, Inc., 690 E Middlefield Rd, Mountain View, CA 94043, USA

Resume : The discovery of ferroelectricity in doped and alloyed forms of conventional binary oxides has revived the interest in ferroelectric materials and in their integration as functional device components to enable novel logic and memory applications in semiconductor technology, such as negative capacitance field effect transistors (NC-FETs), ferroelectric field-effect transistors (FE-FETs) and ferroelectric tunnel junctions (FTJs). In this respect, atomistic simulations represent an invaluable tool that can be used to support the development of such technologies, by providing unprecedented insight into the microscopic structure of the ferroelectric materials and its relation with the device properties. In this talk, we will present an overview of the capabilities of the QuantumATK software package for atomistic simulations [1,2] developed by Synopsys for ferroelectric materials and devices. Starting for pure material properties, we will describe how ferroelectric switching barriers and potential profiles can be calculated thanks to an accurate, yet efficient implementation of the density functional theory method based on a linear combination of atomic orbitals, and how parameters can be extracted to allow for coupling to higher-level continuum-based technology computer-aided design (TCAD) tools. We will then introduce a novel methodology to account for structural relaxation effects in both paraelectric and ferroelectric devices based on DFT and on the non-equilibrium Green’s function (NEGF) method and discuss how such methodology can be used to extract the current-voltage and polarization-voltage characteristics of the simulated device. Finally, we will introduce a novel simulation methodology where accurate total energies within the NEGF-DFT framework are used to evaluate relevant quantities for ferroelectric capacitors, such as capacitance-voltage characteristics. 1) S. Smidstrup et al. ‘QuantumATK: An integrated platform of electronic and atomic-scale modelling tools’ Journal of Physics: Condensed Matter 32, 015901 (2019). 2) https://www.synopsys.com/silicon/quantumatk.html 3) Stradi et al., Proceedings of the International Conference on Simulation of Semiconductor Processes and Devices (SISPAD) 2019.

Q.9.3
10:00
Authors : Stefan Müller
Affiliations : Ferroelectric Memory GmbH, Dresden, Germany, email: stefan.mueller@ferroelectric-memory.com

Resume : Over several decades, the semiconductor industry has been searching for what is referred to as the “Universal Memory”. That is, a memory cell that can be written an infinite number of times at the speed of nanoseconds and at the same time can retain its memory state in a nonvolatile fashion. Moreover, power consumption should be as low as possible while the memory technology must provide extreme memory densities to be as cheap as possible. Various new memory concepts had been proposed in the past but none of them could fulfill the original expectations. It is now widely accepted that one single memory cell fulfilling all the required performance metrics of semiconductor industry is extremely challenging to achieve. In this talk, we propose that instead of looking for a universal memory cell, the industry should consider looking for a universal memory material. The discovery of ferroelectric properties in HfO2 represents a unique event in the decade long research for new memory technologies. It represents a material which maintains very good ferroelectric properties down to a few nanometers in film thickness [1] even in its polycrystalline form and can be processed with readily available tool parks of semiconductor fabrication environments. Moreover, because ferroelectric HfO2 can be deposited by atomic layer deposition, 3D device structures can easily be realized [2]-[4]. These characteristics together with the additional fact that the memory state can be written by an electric field only (without the need for driving a current through the memory cell) sets this technology apart from all incumbent and emerging memory materials. We illustrate that by utilizing ferroelectric HfO2, a wide variety of memory cells can be built that can be utilized across today’s memory landscape. We present these different memory cells and summarize their respective performance metrics but also express our view on their respective challenges and limitations from an industry perspective. There are different ferroelectric memory cells which are ideally suited for embedded NVM, Cache / DRAM replacement and even novel 3D memory architectures for mass storage. All memory cells have different advantages for respective segments in the memory space and can outperform incumbent solutions in the respective memory segments. However, there is one commonality to all presented memory cells: A universal memory material. [1] S.S. Cheema et al., Nature, 580, pp. 478–482, 2020. [2] P. Polakowski et al., 2014 IEEE 6th International Memory Workshop (IMW), pp. 1-4, 2014. [3] K. Florent et al., 2017 Symposium on VLSI Technology, pp. T158-T159, 2017. [4] S. -C. Chang et al., 2020 IEEE International Electron Devices Meeting (IEDM), pp. 28.1.1-28.1.4, 2020.

Q.9.4
10:30 Q&A Session / Break (30 min)    
 
Materials & Synthesis III (11:00-12:30) : tbd
11:00
Authors : Seung Chul Chae
Affiliations : Department of Physics Education, Seoul National University

Resume : Ferroelectricity with controllable partial polarization is considered as one of the feasible candidates for an analog device for the neuromorphic device in the form of the ferroelectric tunnel junction and ferroelectric transistor. However, in the case of conventional ferroelectric materials, the complex nature of ferroelectric switching mechanism and/or defect mediated uncertainty hinder the deterministic control of ferroelectric subloop switching. This stochastic nature in the control of ferroelectric polarization states are of interest prior to the device application. Recently, novel ferroelectric HfO2 thin film has been investigated intensively as an alternative to conventional ferroelectric materials due to the advantages such as good scalability, compatibility with conventional CMOS process technology. In this study, we present the unprecedented stability of sub-loop polarization observed in the subloop switching of ferroelectric HfO2. We suggest that the enhanced stability and accessibility of intermediate states in HfO2 can be attributed to the large activation field for ferroelectric switching with small critical volume for the ferroelectric nucleation of HfO2. We measured switching dynamics and temperature dependence hysteresis of HfO2 thin films. The characteristic switching time and temperature dependence of hysteresis showed that ferroelectric HfO2 has large activation energy while the critical size of ferroelectric domain volume is small. PFM results showed large domain wall activation energy due to stable small critical volume. Theoretical calculation demonstrated the stable switching energy path of ferroelectric HfO2 during a single dipole flip. Monte-Carlo simulation confirmed the relation between stable accessibility and the small volume of the ferroelectric domain.

Q.10.1
11:30
Authors : Anna Dmitriyeva(1), Vitalii Mikheev(1), Sergei Zarubin(1), Yury Matveyev(2), Giovanni Vinai(3), Vincent Polewczyk(3), Piero Torelli(3), Evgeny Y. Tsymbal(4) and Andrei Zenkevich*(1)
Affiliations : (1) Moscow Institute of Physics and Technology, Dolgoprudny, Moscow region, Russia (2) Deutsches Elektronen-Synchrotron, Hamburg, Germany (3) Istituto Officina dei Materiali, Trieste, Italy (4) University of Nebraska-Lincoln, Lincoln, USA

Resume : Composite multiferroic materials with coexisting ferroelectric and ferromagnetic orders open alternative prospects for electronic devices development. Switching magnetization by applied electric rather than magnetic field or spin-polarized current requires much less energy making multiferroics promising for memory and logic applications. However, the application of multiferroic materials is limited mainly by low compatibility of ferroic materials with the silicon-based semiconductor technology. This limitation can be overcome by recent discovered ferroelectric doped or alloyed hafnia (HfO2) films. In this regard, exploring the possible magnetoelectric effect at the ferroelectric/ferromagnetic interface in the heterostructures where doped or alloyed HfO2 is crucial for development of Si-compatible composite multiferroics. Recently, a strong charge-mediated magnetoelectric coupling at the Ni/HfO2 interface driven by ferroelectric HfO2 polarization reversal has been predicted using DFT calculations [1]. In this work, we report on the experimental evidence of the magnetoelectric coupling effect at Ni/Hf0.5Zr0.5O2 (HZO) interface in functional Au/Co/Ni/HZO/W ferroelectric capacitor devices [2]. Using the combination of X-ray synchrotron based spectroscopic techniques, in particular, X-ray magnetic circular dichroism and magnetic circular dichroism in angular distribution of photoelectrons, we probe element-selectively the local magnetic properties at Ni/HZO interface. Hard X-ray photoemission spectroscopy and high-resolution transmission electron microscopy combined with electron energy-loss spectroscopy provided insight into the chemical/electronic and structural properties of devices used in the study. Furthermore, in situ electric biasing of the sample allows us to directly monitor the effect of ferroelectric polarization switching on the magnitude and/or orientation of the magnetic moment of the marker Ni layer at the interface at the atomic scale and clearly demonstrate the magnetoelectric coupling at interface driven by HZO polarization. Our results hold promise for the use of ferroelectric HfO2-based composite multiferroics for the design of multifunctional devices compatible with the modern semiconductor technology. [1] Q. Yang, L. Tao, Z. Jiang, Y. Zhou, E.Y. Tsymbal, and V. Alexandrov, Phys. Rev. Appl. 2019, 12, 024044 [2 ] A. Dmitriyeva, V. Mikheev, S. Zarubin, A. Chouprik, G. Vinai, V. Polewczyk, P. Torelli, Y. Matveyev, C. Schlueter, I. Karateev, Q. Yang, Z. Chen, L. L. Tao, E. Y. Tsymbal, and A. Zenkevich, submitted paper (2021).

Q.10.2
12:00
Authors : Funakubo, H.*(1), Shimura R.(1), Mimura T.(1), Tateyama, A.(1), Nakamura, Y(1) Shimizu, T.(1,2), Yamada, T.(3), and Tanaka Y.(4), Inoue, Y.(4),
Affiliations : (1) Tokyo Institute of Technology, Japan (2) National Institute for Materials Science (NIMS), Japan (3) Nagoya University, Japan (4) Technical Center, TDK Corporation, Ichikawa 272-8558, Japan

Resume : Discovery of ferroelectricity in HfO2-based films open the possibility of these films for piezoelectric applications. For this purpose, thick films around 1 ?m in thickness is essential. However, ferroelectricity of HfO2-based films was previously limited up to 100 nm in thickness. In addition, low process temperature is an important because piezoelectric applications need film deposition not only on Si substrates but also on more wide variety of substrates with low heat resistance including flexible organic substrates. However direct deposition process on these substrates has not been demonstrated. We tied to low temperature preparation of thick Y-HfO2 ferroelectric films with 1 ?m in thickness. Obtained result can be summarized as follows.[1,2] 1) Thick ferroelectric films around 1 ?m was deposited for Y-HfO2 films. 2) Low deposition temperature was achieved by sputtering process after optimization of process parameters. 3) Piezoelectricity compatible to AlN-based one was obtained for 1 ?m thick HfO2-based films by the selection of film composition. These results show that HfO2-based films are one of the promising candidate materials for piezoelectric device applications. [1] Shimura et al., J. Ceram. Soc. Jpn., 128, 539 (2020). [2] Shimura et al., Jpn. J. Appl. Phys., 60, 031009 (2021)

Q.10.3
12:15
Authors : Jeong Gyu Yoo1, Namhun Kim2,3, Jaidah Mohan2, Yong Chan Jung2, Heber Hernandez-Arriaga2, Hyo Jeong Kim4, Hye Ryeon Park1, Harrison Sejoon Kim2, Si Joon Kim1,4, Rino Choi3, Jiyoung Kim2
Affiliations : (1) Department of Electrical and Electronics Engineering, Kangwon National University, 1 Gangwondaehakgil, Chuncheon-si, Gangwon-do 24341, Republic of Korea; (2) Department of Materials Science and Engineering, The University of Texas at Dallas, 800 West Campbell Road, Richardson, Texas 75080, United States; (3) Department of Material Science and Engineering, Inha University, 100 Inha-ro, Michuhol-gu, Incheon 22212, Republic of Korea; (4) Interdisciplinary Graduate Program in BIT Medical Convergence, Kangwon National University, 1 Gangwondaehakgil, Chuncheon-si, Gangwon-do 24341, Republic of Korea

Resume : Ferroelectric behaviors were first reported in HfO2-based films in 2011 [1]. These new ferroelectric materials open up the possibility of next-generation non-volatile memory applications, especially scaled ferroelectric random access memory (FRAM). In particular, Hf0.5Zr0.5O2 (HZO) films offer several notable advantages such as the realization of uniform thin films (~10 nm) and relatively low process temperature (~400°C), while having suitable ferroelectric polarization [2,3]. Under certain process conditions, non-centrosymmetric orthorhombic phase (o-phase) can form in HZO films, which is known to be responsible for the ferroelectric behaviors. In the past 10 years, many studies have been reported on the influence of the top and bottom electrodes, which is one of the various factors for the formation of this unusual o-phase. According to the results, it was found that the stress applied by the electrodes during the crystallization of the HZO film promotes the o-phase formation [2]. In this study, we investigated these stress effects as well as the interfacial properties between the electrode and the HZO films. Specifically, electrical, physical, and chemical analyzes on the effect of the bottom electrode on the ferroelectric properties of atomic layer deposited HZO films were comprehensively performed. The 10-nm-thick HZO-based capacitors were fabricated using TiN or W bottom electrode, and all processes except for the bottom electrode were carried out identically. All HZO films were annealed for 60 seconds in N2 atmosphere at 400°C using rapid thermal annealing process after TiN top electrode deposition. The remnant polarization (Pr) of the fabricated device was ~25 μC/cm2 (i.e., 2Pr of ~50 μC/cm2) for the TiN bottom electrode and ~28 μC/cm2 (i.e., 2Pr of ~56 μC/cm2) for the W bottom electrode, respectively. In addition, grazing incidence X-ray diffraction and X-ray photoelectron spectroscopy measurements of the HZO film were also performed. Detailed results and analysis will be discussed in the presentation. Acknowledgements This work was supported by the National Research Foundation of Korea (NRF) grant funded by the Korea government (MSIT) (Nos. NRF-2019R1F1A1059972 and NRF-2020M3F3A1A02080642) and the Korea Institute for Advancement of Technology (KIAT) grant funded by the Korea government (MOTIE) (P0017011, HRD Program for Industrial Innovation). This work was also partially supported by the MOTIE in Korea under the Fostering Global Talents for Innovative Growth Program (P0008750) of KIAT. References [1] T. S. Böscke et al. Appl. Phys. Lett. 99, 102903 (2011). [2] S. J. Kim et al. JOM 71, 246 (2019). [3] H. J. Kim et al. Phys. Status Solidi RRL, 15, 2100028 (2021).

Q.10.5
12:30 Break (90 min)    
 
Industry session II (14:30 - 16:00) : .
14:30
Authors : Bégon-Lours, L.*(1), Halter, M.(1,2), Popoff, Y.(1,2), Yu, Z. (1,3), Falcone, D. F. (1) & Offrein, B. J.
Affiliations : (1) Neuromorphic Devices and Systems, IBM Research, Switzerland (2) Integrated Systems Laboratory, ETH Zürich, Switzerland (3) Institute of Neuroinformatics, University of Zürich & ETH Zürich, Switzerland * lead presenter

Resume : Deep-Neural-Networks accelerators aim at implementing the Vector-Matrix-Multiplication in the analog domain, by a parallel voltage drop through a cross-bar array of tuneable resistances, the memristors. By analogy with the brain, these elements are the “synapses” of the network. Among the technologies available for the fabrication of memristors, ferroelectric-based devices show analog resistive switching, excellent retention properties and small stochasticity. In this talk, we will discuss the development of HfZrO4 ferroelectric memristors from an industry perspective and how we constrain our processes to CMOS compatible technologies, in particular by keeping a moderate thermal budget [1]. Two technologies will be presented: the two [2,3] and three [4] terminals memristors. Both use metallic oxide electrodes (WOx<3 [3,4] or TiOx<2 [2]) to avoid interfacial dielectric layer and maximize the ferroelectric field-effect. They exhibit analog potentiation and depression, as well as an Ohmic behavior around the read voltage of 100 mV, required for the analog multiplication. [1] O’Connor, É. et al. APL Mater. 6, 121103 (2018). [2] Bégon-Lours, L. et al. PSS RRL – 202000524 (2020) [3] Bégon-Lours, L. et al. IEEE EDTM (2021) [4] Halter, M., et al. ACS A. M. Int. 12, 17725–17732 (2020). This work is supported by FREEMIND (840903), BeFerroSynaptic (871737), ULPEC (732642) and the BRNC.

Q.11.2
15:00
Authors : Milan Pesic
Affiliations : Applied Materials Inc

Resume : Discovery of ferroelectric (FE) properties [1] in doped hafnia and hafnia-zirconia mixtures enabled a novel CMOS scalable and compatible FE memory; triggered diverse research and enabled demonstration of FE memory arrays realized in 22nm technology nodes [2], 3D-NAND-like ferroelectric FETs (FeFETs) [3] and various neuromorphic applications [4]. Despite the fact that this groundbreaking discovery bridged the scaling gap between state-of-the-art technology nodes and FE memories, the reliability issues in FE memories still need to be fully understood. Transition-metal-oxide based ferroelectrics have endurance [5-7] that is significantly lower than state-of-the-art optimized perovskite-based equivalents and memory window fluctuations which impact variability. Due to the high coercive field and the necessary operation at high electric fields, hafnia-based FE suffers from hard breakdown and premature closure of the memory window (MW). Furthermore, when integrated into a ferroelectric field effect transistor (FeFET) device, charge trapping may lead to an even faster closure of the memory window compared to a metal / ferroelectric / metal (MFM) capacitor. In this paper, we discuss the effects of charge trapping and ferroelectric switching on FeFET and reliability [6] by using electrical characterization and a multiscale simulation framework [8] accounting for atomic material properties, nucleation theory, rigorous charge transport modeling and stress and vacancy induced phase changes [5]. We identified reliability challenges of current FE-memory concepts and formulate alternative integration approaches and anti-ferroelectric memories with improved endurance [7]. References [1] Böscke et al., “Ferroelectricity in hafnium oxide thin films” Appl. Phys. Lett., vol. 99, no. 10, pp. 102903-1 102903-3, Sep. 2011; [2] Dünkel et al., "A FeFET based super-low-power ultra-fast embedded NVM technology for 22nm FDSOI and beyond," 2017 IEEE IEDM, San Francisco, CA, 2017, pp. 19.7.1-19.7.4. [3] Florent et al., "Vertical Ferroelectric HfO2 FET based on 3-D NAND Architecture: Towards Dense Low-Power Memory," 2018 IEEE IEDM, San Francisco, CA, 2018, pp. 2.5.1-2.5.4. [4] Mulaosmanovic et al. Ferroelectric Field Effect Transistor for Neuromorphic Applications Elsavier 2019. [5] Pešić et al., Physical Mechanisms behind the Field-Cycling Behavior of HfO2-Based Ferroelectric Capacitors. Adv. Funct. Mater., vol. 26 no. 25, pp. 4601–4612, July 2016. [6] Pesic et al., "Deconvoluting charge trapping and nucleation interplay in FeFETs: Kinetics and Reliability," 2018 IEEE IEDM, San Francisco, CA, 2018, pp. 25.1.1-25.1.4. [7] Pešić et al. "Comparative Study of Reliability of Ferroelectric and Anti-Ferroelectric Memories," in IEEE Transactions on Device and Materials Reliability, vol. 18, no. 2, pp. 154-162, June 2018. [8] GinestraTM https://www.appliedmaterials.com/products/applied-mdlx-ginestra-simulation-software

Q.11.3
15:30 Q&A session    
15:45
Authors : Tony Schenk
Affiliations : Symposium Organizer

Resume : -

Q.11.4

Symposium organizers
Min Hyuk PARKDepartment of Materials Science and Engineering, College of Engineering, Seoul National University

1, Gwanak-ro, Gwanak-gu, Seoul 08826, Republic of Korea

minhyuk.park@snu.ac.kr
Sergey V. BARABASHIntermolecular Inc.

3011 North First St., San Jose, CA 95134, USA

sergey.barabash@emdgroup.com
Tony SCHENKFerroelectric Memory GmbH

Dresden, Germany

tony.schenk@ferroelectric-memory.com