preview all symposia

2022 Spring Meeting

Nanoelectronic materials and devices

E

Adaptive materials and devices for brain-inspired electronics

The time is ripe for novel approaches in how we implement hardware for Artificial Intelligence applications. We need highly power-efficient and adaptive hardware platforms to make further advancements in AI possible. The symposium covers development in materials, devices, architectures, and algorithms for brain-inspired computing paradigms.

Scope:

For the last decade, the developments in dedicated hardware for Machine Learning (ML) has been crucial for recent fundamental advancements in Artificial Intelligence (AI). The central paradigm of the current hardware architectures is to minimise inefficiencies of von-Neumann systems - costly data movement between physically separated memory and compute units. This is typically achieved through aggressive parallelisation and reuse of data. However, current hardware solutions, although optimised explicitly for parallel computing, use digital CMOS technology and conventional von-Neumann architecture. Digital components are inherently unsuitable for the realisation of analogue synapses/weights in artificial neural networks, and the sequential nature of von-Neumann architecture is intrinsically inefficient for vector-matrix multiplications that dominate most ML algorithms. The approaching demise of Moore's Law makes the need for approaches beyond CMOS technologies all the more needed.

This symposium will cover recent developments in smart adaptive materials and devices able to implement specific brain-inspired functionalities in a compact and power-efficient manner. Examples of these include the functionality of synapses, neurons and their assemblies (e.g. plasticity, adaptation, spiking, integration, operation synchronization and auto-organization). The focus will be given to memristive materials systems such as oxides, ferroelectrics, ferroics, organic and inorganic, self-assembly materials, among the others. Apart from the electrical operations, the symposium will investigate the interaction of memristive devices with external non-electric stimuli, such as light, magnetic fields, exploring possibilities of developing compact integrated sensor/processing/memory units. Furthermore, the symposium will address emerging fields of bio-hybrid systems covering materials for brain-computer interfaces.

Finally, the symposium will provide a perfect platform for the exchange of ideas between many different scientific communities, from solid-state physicists, material scientists, chemists, electrical engineers, scientists working in fields of neuromorphic computing, computational neuroscientists, and computer scientists.

Hot topics to be covered by the symposium:

  • Memristive materials and memory devices for neuromorphic applications including: oxides, ferroelectrics, magnetic materials, 2D materials, magnetoelectric;
  • Other device concepts for neuromorphic applications: ferroic-based, spin-based, topological insulator, intercalation compounds, TFT, nanowire-devices, self-assembly networks, organic and polymer electronics, oxides, ferroelectric, ferroelectric-hafnium oxide;
  • Neural computing with optics, photonics and magnetic systems;
  • Learning algorithms and novel architectures including emerging materials and devices;
  • Emulation of biological processes (e.g. synaptic and neuronal functionality);
  • Bio-hybrid systems featuring electronic memristor-biological neuron hybrids;
  • Spiking neural networks;
  • In-memory computing;
  • Machine Learning Accelerators;
  • Computing with self-organized networks of nano-objects and nano-devices.

List of invited speakers:

  • Regina Dittmann - Forschungszentrum Jülich, Germany
  • Julie Grollier - CNRS/Thales Lab, France
  • Zdenka Kuncic - University of Sydney, Australia
  • Yoeri van de Burgt - Eindhoven University of Technology, The Netherlands
  • Damien Querlioz - CNRS, Centre de Nanosciences et de Nanotechnologies, France
  • Abu Sebastian - IBM Research Zurich, Switzerland
  • Themis Prodromakis – University of Southampton, U.K.
  • Harish Bhaskaran – University of Oxford, U.K.

Publication:

Selected contributions will be published in a focused issue of Neuromorphic Computing and Engineering (IoP).

 


Documentation

program_sympo_e.pdf

246.61 KbDownload
Start atSubject View AllNum.
09:00 Welcome and Introduction to the Symposium    
 
Oxide-based Devices 1 : Adnan Mehonic
09:15
Authors : Themis Prodromakis
Affiliations : Centre for Electronics Frontiers, University of Southampton, UK

Resume : A novel nano-electronic technology, known as the memristor, proclaims to hold the key to a new era in electronics, being both smaller and simpler in form than transistors, low-energy, and with the ability to retain data by ?remembering? the amount of charge that has passed through them ? akin to the behaviour of synaptic connections in the human brain. This invited talk will present auxiliary benefits emerging from the dynamics of such nanoscale systems that make them attractive for use in a variety of sensory systems.

E.1.1
09:45
Authors : Gianluca Milano1, Giacomo Pedretti2, Kevin Montano3, Saverio Ricci2, Shahin Hashemkhani2, Luca Boarino1, Daniele Ielmini2, Carlo Ricciardi3
Affiliations : 1Advanced Materials Metrology and Life Science Division, INRiM (Istituto Nazionale di Ricerca Metrologica), Strada delle Cacce 91, 10135 Torino, Italy. 2Dipartimento di Elettronica, Informazione e Bioingegneria, Politecnico di Milano and IU.NET, Piazza L. da Vinci 32, 20133, Milano, Italy. 3Department of Applied Science and Technology, Politecnico di Torino, C.so Duca degli Abruzzi 24, 10129 Torino, Italy.

Resume : With the aim of emulating topology, functionalities and effectiveness of biological neural networks, self-organizing memristive nanonetworks have attracted a growing attention for the implementation of unconventional computing paradigms [1,2]. In this work, we report on in materia implementation of reservoir computing in a fully memristive hardware architecture based on self-organizing networks of memristive nanowire [3]. The emergent non-local memristive behavior of the network, related to the functional connectivity of the system, is characterized by nonlinear dynamics, fading memory and heterosynaptic plasticity. These properties allow the designless network realized with a bottom-up approach to act as a physical “reservoir” able to map multiple spatio-temporal inputs into a feature space, as revealed by experimental measurements and modeling. These output features can then be analyzed by a readout network that can be implemented in hardware by means of resistive switching devices realized with a top-down approach. The computing capabilities of this neuromorphic architecture have been evaluated in applications such as recognition of spatio-temporal patterns and time-series prediction, showing that the system represents a versatile platform for the implementation of brain-inspired computing paradigms. References [1] Kuncic, Zdenka, and Tomonobu Nakayama. "Neuromorphic nanowire networks: principles, progress and future prospects for neuro-inspired information processing." Advances in Physics: X 6.1 (2021): 1894234. [2] Milano, Gianluca, et al. "Brain‐Inspired Structural Plasticity through Reweighting and Rewiring in Multi‐Terminal Self‐Organizing Memristive Nanowire Networks." Advanced Intelligent Systems 2.8 (2020): 2000096. [3] Milano, Gianluca, et al. "In materia reservoir computing with a fully memristive architecture based on self-organizing nanowire networks." Nature Materials (2021): 1-8.

E.1.2
10:00
Authors : Bestelink, E.*(1), Surekcigil Pesch, I.(1), Fustec, J.-C.(2), de Sagazan, O.(2), Mehonic, A.(3) & Sporea, R.A.(1).
Affiliations : (1) Advanced Technology Institute, University of Surrey, Guildford, United Kingdom (2) University of Rennes1, IETR-UMR6164, Rennes, Brittany, France (3) Department of Electronic and Electrical Engineering, University College London, London, United Kingdom * lead presenter

Resume : In order to overcome inefficient CMOS implementations for artificial neural networks (ANNs), trends have emerged where computing weights (synapses) are performed off-chip. While synapses have been implemented with various devices [1], memristors offer power-efficient performance in a highly compact footprint [2]. Yet, little attention is given to activation functions, which would also benefit from compact implementations that offer improvements in efficiency over CMOS [3]. The multimodal transistor (MMT) is a new type of contact-controlled thin-film transistor (TFT), which can be designed to provide constant transconductance when operating in saturation [4]. Unlike the quadratic dependence of drain current on gate voltage in Ohmic-contact TFTs, the MMT can achieve a directly proportional dependence of drain current on input voltage. As such, the transfer characteristic of the MMT’s current control gate exactly resembles that of the rectified linear unit (ReLU) activation function [4]. Achieving the same behavior from conventional TFTs would require operational amplifiers or other linearization techniques, resulting in circuits with increased complexity. Moreover, conventional TFTs are prone to device-to-device variation, which affects yield [5]. MMTs, on the other hand, do not share these shortcomings, as they rely on deliberate energy barriers at the source contact to control charge injection [4]. As such, the MMT is capable of delivering benefits of contact-controlled devices, such as: low saturation voltage; power-efficiency; high output impedance; uniformity of operation; robustness against bias stress; and, depending on design, high gain or constant transconductance [4]. Using MATLAB’s deep learning toolbox, we compared accuracy of convolutional ANNs (CNNs) between modeled transfer characteristics of measured and simulated MMTs, benchmarked against the built-in MATLAB ReLU activation function [3]. As the accuracy of the CNN depends on the direct proportionality of the MMT’s current control transfer characteristic, we explain here how material properties and device geometry influence this behavior. Since the MMT architecture is material agnostic, the principles presented here translate to other technologies, as long as a rectifying source energy barrier can be reliably implemented. With versatility in both design and operation, the MMT provides device and circuit engineers a powerful, yet compact, element for their toolkits, particularly for neuromorphic computing at the edge. [1] H. E. Lee et al., Adv. Funct. Mater., vol. 28, no. 32, pp. 1–18, 2018. [2] A. Mehonic et al., Adv. Intell. Syst., vol. 2, no. 20000852, pp. 1–20, 2020. [3] I. Surekcigil Pesch et al., Sci. Rep., vol. 12, no. 670, pp. 1–7, 2022. [4] E. Bestelink et al., Adv. Intell. Syst., vol. 3, no. 1, p. 2000199, 2020. [5] A. F. Paterson and T. D. Anthopoulos, Nat. Commun., vol. 9, no. 1, pp. 1–4, 2018.

E.1.3
10:15 Discussion    
10:30 Coffee Break    
 
Organic and Halides 1 : Veeresh Deshpande
10:45
Authors : Florian Maudet (1), Onur Toprak(1), Veeresh Deshpande(1), Catherine Dubourdieu (1,2)
Affiliations : 1.Institute Functional Oxides for Energy-Efficient Information Technology (EM-IFOX), Helmholtz-Zentrum Berlin für Materialien und Energie, Hahn-Meitner-Platz 1, 14109 Berlin, Germany 2.Freie Universitat Berlin, Physical Chemistry, Arnimallee 22, 14195 Berlin Germany

Resume : Conductive-bridge random access memory (CBRAM) devices based on Cu/SiO2/W stacks have been shown to be promising memristive devices for neuromorphic computing applications. The conduction (low resistance state) originates from the formation of a Cu filament in the SiO2 solid electrolyte under the application of a positive voltage. The high resistance state is recovered upon negative bias to dissolve the filament. These devices can be operated under very low voltage (below ~ 300 mV for the SET and below ~80 mv for the RESET) and low operating currents (tens of µA) and it was shown that their conductance is quantized [1]. The quantum conductance states that result from the different nanoscale dimensions of the filament under different programming conditions offer multiple memory states at low power consumption. However, because of their nanoscale filamentary nature, the devices are very sensitive to local inhomogeneities like defects in the SiO2 dielectric or interface roughness. As a result, the filament can have significant cycle-to-cycle variations that lead to a poor repeatability of the different states limiting the advantage of such devices for potential applications. Additionally, the retention is also a limiting factor. In order to quantify and understand the origin of the distribution of these characteristics we present a statistical analysis on the quantum conductance states of Cu/10 nm SiO2/W. The 10 nm SiO2 film was deposited by plasma-enhanced chemical vapor deposition. The top 50 nm Cu and bottom 100 nm W electrodes were deposited by sputtering. Cross-point devices of 30 µm x 30 µm were fabricated by conventional photolithography. The conductance state distributions were measured for different currents (10 -100 µA) or for different pulse voltages (pulse height and width of 0.5 - 2 V and 10 ms – 1s respectively). In both cases, the retention of the different states was measured.The influence of the programming current or of the programming voltage pulse height and width on the quantum conductance states will be discussed. The distribution of the retention of the different quantum conductance states will be commented. Insight is gained on the states and programming conditions that can be practically used. Furthermore, this work allows to identify key parameters at play in the variability of the device performance for their further optimization.

E.2.1
11:00
Authors : Maria Elias Pereira, Jonas Deuermeier, Pedro Barquinha, Rodrigo Martins, Elvira Fortunato and Asal Kiazadeh
Affiliations : i3N/CENIMAT, Department of Materials Science, NOVA School of Science and Technology and CEMOP/UNINOVA, NOVA University Lisbon, Campus de Caparica, 2829-516 Caparica, Portugal

Resume : Neuromorphic computation based on memristors is an emergent AI technology that can perform in-memory computation with adaptive learning algorithms on hardware. It has immense potential to solve the modern age AI drawbacks such as latency and privacy issues related to the cloud usage, high-power consumption required to support data centers and the scaling limitations of Von Neumann’s architecture. In fact, memristors can simulate a variety of synaptic properties which are key features of artificial neural networks (ANNs). A high-performance algorithm for classification and recognition tasks can be implemented using memristors as artificial synapses in crossbars for ANNs hardware. Common ANNs require a symmetric and linear synaptic weight change under training pulses for an effective recognition. Typically, this implies the application of complex non-identical spiking pulse schemes which complicate the peripheral circuit[1]. Moreover, memristor crossbars usually suffer from crosstalk issues related to the interference of neighboring cells. These challenges can be overcome by adding a selector device in each cell allowing individual cell activation and an on-chip current compliance, enabling a better control of the synaptic behavior which translates into simpler pulse schemes. Here, we report on a thin-film one-transistor-one-memristor (1T1M) crossbar array built on a flexible substrate, a core feature for IoT applications and wearables. We propose a novel layout in which both thin-film transistor (TFT) and memristor are fabricated at the same level, using the same processing steps and therefore, sharing the same material layers. This implies a decrease in total mask count, improved interconnectivity and drastic cost reduction. Moreover, TFTs can compose the additional signal conditioning circuit on the neuromorphic chip. The proposed structure is based in amorphous indium-gallium-zinc-oxide (a-IGZO) as the memristors active layer and TFTs semiconductor. Mo acts as bottom electrode of memristor and the TFTs gate and source and drain electrodes. The a-IGZO memristor shows a forming-free area-dependent performance with an analog control of resistance states which provides the means for subsequent high-density processing in neuromorphic computing[2]. We show potentiation and depression tests, applying pulse schemes at the word and source-lines, simultaneously, while reading at the bit-line and we successfully modulate the plasticity characteristic into a linear and symmetrical response. The pattern recognition accuracy of MNIST handwritten digits dataset, for crossbar-based online training using CrossSim simulation[3] revealed an accuracy of 95.3%, exposing the potential for an efficient ANNs hardware. References [1] Pereira, M et al. APL materials, 10, 2022 [2] Pereira, M. et al. Adv. Electron. Mater. 6(10), 2000242, 2020 [3] Agarwal, S. et al. International Joint Conference on Neural Networks (IJCNN), 929–938, 2016

E.2.2
11:15
Authors : A. Halbritter, B. Sánta, L. Pósa, T.N. Török, D. Molnár, Z. Balogh
Affiliations : A. Halbritter, B. Sánta, L. Pósa, T.N. Török, D. Molnár, Z. Balogh: Department of Physics, Institute of Physics, Budapest University of Technology and Economics, Műegyetem rkp. 3., H-1111 Budapest, Hungary. A. Halbritter, B. Sánta, D. Molnár, Z. Balogh MTA-BME Condensed Matter Research Group, Műegyetem rkp. 3., H-1111 Budapest, Hungary L. Pósa: Institute of Technical Physics and Materials Science, Centre for Energy Research, Konkoly-Thege M. út 29-33, 1121 Budapest, Hungary

Resume : In resistive switching memories the functionality is confined to a tiny volume, where local atomic fluctuations play a crucial role. The resistance, voltage and frequency dependent 1/f-type noise characteristics serve as rich, device specific fingerprints of the relevant transport and noise-generating mechanisms in such structures [1]. We demonstrate a comparative noise analysis of transition metal oxide and silver based resistive switching filaments as well as phase change memory systems, demonstrating orders of magnitude material specific differences in the overall noise floors, and orders of magnitude tunability of the noise levels along the analog tuning of the resistance states. This behavior is analyzed in the framework of a point-contact noise model highlighting the possibility for the disorder-induced suppression of the remote fluctuators’ contribution [2]. These findings promote the design of multipurpose resistive switching units, which may serve as analog-tunable noise sources in probabilistic computing machines [1,2]. [1] Z. Balogh et al. 1/f noise spectroscopy and noise tailoring of nanoelectronic devices (topical review), Nano Futures 5, 042002 (2021) [2] B. Sánta et al. Noise Tailoring in Memristive Filaments, ACS Applied Materials and Interfaces 13, 7453 (2021)

E.2.3
11:30
Authors : D. J. Mannion, A. Mehonic, A. J. Kenyon
Affiliations : University College London (UCL)

Resume : When we think of machine learning we think of neurons and synapses. The dendrites in between these two components are often overlooked. Dendrites propagate signals from the synapses to the soma of the neuron and are frequently abstracted to the point of being an interconnect. But dendrites are much more than this. From neuroscience we know that dendrites perform an array of computations both passive and active[1]. As a passive element they attenuate and broaden action potentials. As an active element they can carry out selective gain modulation and perform Boolean logic operations. These properties alone make the dendrite capable of computing complex problems such as coincidence detection. By replicating these dendritic behaviours we may be able to avoid some aspects of network training, instead implementing specific computations directly. We have demonstrated a similar concept to detect edges within an image[2]. Combining these static computational blocks with the elasticity of the synapse/neuron architectures could potentially improve circuit efficiency and reduce network training times – major challenges in today’s machine learning research. Whilst dendrites have been implemented using CMOS technologies[3], most attention has instead focused on the synapse. This research has benefited hugely from the introduction of novel devices such as the memristor. In this talk we will present a framework to aide in the replication of dendritic trees using nanoscale devices. We will identify some fundamental computations that are thought to occur within dendrites and pair these with devices currently available to the neuromorphic researcher. [1] M. London and M. Häusser, “DENDRITIC COMPUTATION,” Annu. Rev. Neurosci., vol. 28, no. 1, pp. 503–532, Jul. 2005. [2] Mannion, D. J., Mehonic, A., Ng, W. H., & Kenyon, A. J. (2020). Memristor-Based Edge Detection for Spike Encoded Pixels. Frontiers in Neuroscience, 13, 1386. https://doi.org/10.3389/fnins.2019.01386 [3] P. Hasler, S. Kozoil, E. Farquhar, and A. Basu, “Transistor channel dendrites implementing HMM classifiers,” in Proceedings - IEEE International Symposium on Circuits and Systems, 2007, pp. 3359–3362.

E.2.4
11:45
Authors : Stephan Aussen, Felix Cüppers, Rainer Waser, Susanne Hoffmann-Eifert
Affiliations : Peter Grünberg Institute (PGI 7), Forschungszentrum Jülich GmbH and JARA-FIT, Jülich, Germany; Peter Grünberg Institute (PGI 7), Forschungszentrum Jülich GmbH and JARA-FIT, Jülich, Germany; Peter Grünberg Institute (PGI 7), Forschungszentrum Jülich GmbH and JARA-FIT, Jülich, Germany and Institute of Electronic Martials (IWE II) and JARA-Fit, RWTH Aachen University, Aachen, Germany; Peter Grünberg Institute (PGI 10), Forschungszentrum Jülich GmbH and JARA-FIT, Jülich, Germany

Resume : Memristive devices based on the valence change mechanism (VCM) are promising candidates for emerging memories and neuromorphic applications. Aggressive scaling requirements on emerging memory technologies provoke a competition about optimized performance between two operational modes of redox-based resistive random access memory (ReRAM). These are the standard filamentary mode and the area dependent mode characterized by an abrupt and a gradual SET transition from the high to low resistance state, respectively. So far, a direct comparison of the two modes was hindered by differences in ReRAM device design regarding material, thickness, stack sequence and cell size. In this work, TiO2-x/Al2O3-based crossbar devices are studied which enable stable resistive switching in the filamentary and area dependent mode for the same physical device under different programming conditions. A direct comparison of the switching modes in the same physical device is conducted regarding performance indicators like the switching voltage, resistance values, area scalability, read variability, and the SET kinetics. This work shows clear differences between the switching modes and provides experimental guidance for proper device selection depending on the constraints of the intended application.

E.2.5
12:00 Discussion    
12:15 Lunch Break    
 
Organic and Halides 2 : Rohit John
13:45
Authors : Yoeri van de Burgt
Affiliations : Eindhoven University of Technology

Resume : Neuromorphic computing could address the inherent limitations of conventional silicon technology in dedicated machine learning applications. Recent work on large crossbar arrays of two-terminal memristive devices has led to the development of promising neuromorphic systems. However, delivering a compact and efficient parallel computing technology that is capable of embedding artificial neural networks in hardware remains a significant challenge. Organic electronic materials have shown potential to overcome some of these limitations. This talk describes state-of-the-art organic neuromorphic devices and provides an overview of the current challenges in the field and attempts to address them. I demonstrate a concept based on novel organic mixed-ionic electronic materials and show how we can use these devices in trainable biosensors and smart autonomous robotics. Next to that, organic electronic materials have the potential to operate at the interface with biology. This can pave the way for novel architectures with bio-inspired features, offering promising solutions for the manipulation and the processing of biological signals and potential applications ranging from brain-computer-interfaces and smart robotics to bioinformatics. I will highlight our recent efforts for such hybrid biological memory devices.

E.3.1
14:15
Authors : Rohit Abraham John (1,2) , Yiğit Demirağ (3), Giacomo Indiveri (3), Maksym V. Kovalenko (1,2)
Affiliations : 1 Department of Chemistry and Applied Biosciences, Institute of Inorganic Chemistry, ETH Zürich, CH-8093 Zürich, Switzerland 2 Empa-Swiss Federal Laboratories for Materials Science and Technology, CH-8600 Dübendorf, Switzerland. 3 Institute of Neuroinformatics, University of Zurich and ETH Zurich, Zurich, 8057, Switzerland.

Resume : Many in-memory computing frameworks demand electronic devices with specific switching characteristics to achieve the desired level of computational complexity. Existing memristive devices cannot be reconfigured to meet the diverse volatile and non-volatile switching requirements, and hence rely on tailored material designs specific to the targeted application, limiting their universality. “Reconfigurable memristors” that combine both ionic diffusive and drift mechanisms could address these limitations, but they remain elusive. Here we present a reconfigurable memristor that achieves on-demand switching between diffusive/volatile and drift/non-volatile modes by controllable electrochemical reactions. Judicious selection of the perovskite nanocrystals and organic capping ligands enable state-of-the-art endurance performances in both modes – volatile (2×106 cycles) and non-volatile (5.6×103 cycles). We demonstrate the relevance of such proof-of-concept perovskite devices on a benchmark reservoir network with volatile recurrent and non-volatile readout layers based on 19,900 measurements across 25 dynamically-configured devices.

E.3.2
14:30
Authors : Arka Mukherjee, Bikas C Das
Affiliations : School of Physics, Indian Institute of Science Education and Research Thiruvananthapuram (IISER TVM), Vithura, Trivandrum, Kerala 695551, India.

Resume : Nonvolatile resistive switching based memristor and memtransistor devices have emerged as a significant platform in neuromorphic computing and memory applications, and superionic solid electrolyte has enormous potential in this field. In this work, we used a superionic gate dielectric in conjunction with an inert polymer polyethylene oxide (PEO) and an unusual isostructural solid electrolyte RbAg4I5 thin-film to operate a conjugated polymer P3HT channel based organic thin-film transistor (OTFT) at ultralow voltages in a highly reproducible manner, which will also efficiently mimic various synaptic functions as well as has some memory application. Large hysteresis in the transfer curve represents the memristive behavior with at least 105 current On/Off ratio. Enormously large specific capacitance induced by the electrical double layers at the interfaces of PEO/RbAg4I5 dielectric induces polaron (P3HT+) generation in the channel through bound states formation by the electrons with Ag+ ions and consequent movement of iodine (𝐼−) counter ions toward the P3HT channel under a negative gate bias stress. This is strongly supported by the blue shift of the Raman peak from 1444.2 to 1447.9 cm−1 and the appearance of a new peak at 1464.6 cm−1. Interestingly, the proposed ST device exhibits various synaptic actions as well as memory function, which include an excitatory postsynaptic current, paired-pulse facilitation, and short-term potentiation to long-term potentiation after repeated rehearsal on top of standard nonvolatile data storage capability. Our ST also depicts an enhanced retention to 103 s and more than 103 discrete On- and Off-states during potentiation and depression function modulation, respectively, just by consuming a very low energy of about 2.0 pJ per synaptic event. The single level multiple cycles memory application, multilevel memory application as well as endurance of about 106 seconds was observed by monitoring write and readout current. These results are very significant to make this organic synaptic transistor as a potential candidate in terms of the desired metrics for neuromorphic computation at low cost and improved accuracy in the future. References: 1. Mukherjee, A., Sagar, S., Parveen, S. & Das, B. C. Superionic rubidium silver iodide gated low voltage synaptic transistor. Applied Physics Letters 119, 253502 (2021). 2. Sagar, S., Dey, A. & Das, B. C. Unconventional Redox-Active Gate Dielectrics To Fabricate High Performance Organic Thin-Film Transistors. ACS Applied Electronic Materials 1, 2314–2324 (2019). 3. Das, B. C., Pillai, R. G., Wu, Y. & McCreery, R. L. Redox-Gated Three-Terminal Organic Memory Devices: Effect of Composition and Environment on Performance. ACS Applied Materials & Interfaces 5, 11052–11058 (2013).

E.3.3
14:45 Discussion    
15:00 Coffee Break    
 
Organic and Halides 3 : Rohit John
15:15
Authors : Swapnadeep Poddar, Yuting Zhang, Zhiyong Fan*
Affiliations : The Hong Kong University of Science and Technology

Resume : With the advent of artificial intelligence, internet of things (IoT), resistive RAMs (Re-RAMs) have emerged as promising candidates among next generation memories because of their strikingly fast speed, prolonged data retention ability and high storage density. Herein, we developed an electrochemical metallization (ECM) based Re-RAM with three-dimensionally integrated single-crystalline perovskite (methyl ammonium lead halide or MAPbX3 where X=I, Br and Cl) quantum wire (PQW)/ nanowire (PNW) array embedded in a nano-engineered porous alumina membrane (PAM) serving as the switching medium. The PQWs and PNWs were grown inside the PAM template by a vapor-solid-solid-reaction (VSSR) process and were clubbed between silver (Ag) and aluminum (Al) contacts. The nanostructured perovskite Re-RAM exhibited 100 ps switching speed which is a record for perovskite Re-RAMs and also among the fastest for all types of Re-RAMs reported. The fast switching was ascribed to the increased ionic (Ag+) and electronic mobility and subsequent accelerated filament formation within the body of the monocrystalline PQWs/PNWs. The PAM scaffolding imparted material and electrical stability to the environmentally delicate perovskite. As a consequence, we were able to obtain a record long (among perovskite Re-RAMs) estimated retention time > 28 years and record-high (among perovskite Re-RAMs) uninhibited cyclic endurance of 6×10^6 cycles. Also, utilizing the ultra-high density (2×10^11/cm2) of the PQWs, a 14 nm lateral dimension ultra-small memory cell was built. Together with multi-bit switching, an effective device footprint of 76.5 nm^2 was achieved for a single bit storage. Further, as a concept proof, an 8×8 Re-RAM crossbar array device was fabricated which demonstrated temporally robust alphabetic data storage, with a unique metal-semiconductor-insulator-metal (MSIM) architecture to alleviate the sneaky path problem. The MSIM scheme based on quasi-self-selecting elements, demonstrated a strong potential for unabated scalability in the future, a problem often encountered with integrating external selecting diodes and transistors. The PQW/PNW Re-RAMs also responded to light stimuli, exhibiting optical programmability among the low resistance states. In summary, these intriguing results propel perovskite Re-RAMs to the state-of-the-art standard and demonstrate an attractive potency for PQW/PNW devices to be used as an alternative technology in future storage and computing modules.

E.4.1
15:30
Authors : Kharlanov, O.G.*(1), Shvetsov, B.S.(2), Rylkov, V.V.(2) & Minnekhanov, A.A.(2)
Affiliations : (1) Faculty of Physics, Lomonosov Moscow State University, Russia (2) National Research Center “Kurchatov Institute”, Russia

Resume : Understanding of microscopic mechanisms of resistive switching in memristive devices, including the mechanisms at work in the quantized-conductance regime, is important for improving the properties of memristors. Among the latter ones are the number of resistive states supported by the device (a property also referred to as the plasticity) and the stability of these states over time [1]. At the same time, formation and evolution of experimentally observed thin filaments with quantized conductance remains poorly understood yet within a comprehensive microscopic theory. In our work, we tried to partly fill in the gaps in the microscopic understanding of the underlying physics using experimental and theoretical approaches. Namely, we studied retention statistics for different resistive states with a quantized conductance in Cu/poly(p-xylylene)/indium tin oxide memristors [2] at different reading voltages and simulated the effect of the recoil of conduction electrons passing through a copper filament on its profile. Our experiment revealed two types of unstable behavior of thin quantized-conductance filaments—conductance jumps and conductance drifts—and, quite unexpectedly, the percentage of stable filaments grows with the reading voltage, regardless of its sign. This observation, however, agrees with our semiquantitative theoretical estimations of the recoil effects in quantum electron transport in thin filaments, and with an intrinsic connection between the recoil forces and the electron transmission probability through the filament: for a ballistic transport, the recoil is considerably suppressed. Indeed, a numerical solution of a scattering problem in the filament justifies these estimations and demonstrates that for typical switching voltages, the recoil forces compete with the surface tension of the filament and can smooth it out, thus facilitating ballistic transport. We therefore suggest that enhanced filament stability at higher reading voltages observed in our experiments can be related to charge-carrier recoil effects [3]. Being extracted from a microscopic model of a thin filament evolution, our result appears interesting and important for a better understanding of the resistive switching mechanisms and requires further study using both experimental methods and molecular dynamics combined with quantum transport simulations. The study was supported by the Russian Foundation for Basic Research, project No. 20-07-00696. [1] W. Xue et al., Adv. Electron. Mater. 5, 1800854 (2019). [2] A. A. Minnekhanov et al., Org. Electron. 74, 89 (2019). [3] O. G. Kharlanov, B. S. Shvetsov, V. V. Rylkov, and A. A. Minnekhanov, arXiv:2111.13763 (2021).

E.4.2
15:45
Authors : Juan Bisquert
Affiliations : Institute of Advanced Materials, Universitat Jaume I, 12006 Castelló, Spain.

Resume : A memristor is a two-terminal device that undergoes a voltage-controlled conductance change. Because the resistance depends on the history of the system, it has a strong hysteresis effect and produces a resistance switching. Memristors are the key elements for neuronal networks, as the memory effect represents plasticity of synapses. Neurons have the same ingredients as memristors plus at least one negative resistance that destabilizes the system in a Hopf bifurcation that passes the dynamics from rest to a spiking state. The operation of spiking networks occurs by transference and integration of electrical impulses, but the characterization of the material elements is much better done in the frequency domain, by the techniques of impedance spectroscopy.1 Here we provide the methods to assess memory, plasticity and spiking in the frequency domain, and we show the transformation to the time domain. We present the fundamental model of a halide perovskite memristor, that describes the behaviour both in time and frequency domain.2 Next, we show the impedance spectroscopy criteria for dynamical regimes of a FitzHugh-Nagumo model, that is a representative minimal model of a spiking neuron.3 We expand the analysis to cover the possible impedance spectroscopy behaviours of all two-dimensional oscillating systems. In conclusion we show that impedance spectroscopy is a strong characterization method for producing memristors, synapses and neurons with tailored temporal dynamics, hysteresis, and rhythmic oscillations for neuromorphic computing. (1) Guerrero, A.; Bisquert, J.; Garcia-Belmonte, G. Impedance spectroscopy of metal halide perovskite solar cells from the perspective of equivalent circuits, Chemical Reviews 2021, 121, 14430–14484. (2) Bou, A.; Bisquert, J. Impedance spectroscopy dynamics of biological neural elements: from memristors to neurons and synapses, J. Phys. Chem. B 2021, 125 9934–9949. (3) Bisquert, J. A frequency domain analysis of excitability and bifurcations of Fitzhugh-Nagumo neuron model., J. Phys. Chem. Lett. 2021, 12, 11005–11013.

E.4.3
16:00
Authors : Rohit Abraham John (1), Nimesh Shah (2), Sujaya Kumar Vishwanath (1), Si En Ng (1), Benny Febriansyah (3), Metikoti Jagadeeswararao (3), Chip-Hong Chang (2), Arindam Basu (2), Nripan Mathews (1, 3)
Affiliations : 1 School of Materials Science and Engineering, Nanyang Technological University, Singapore, Singapore 2 School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore, Singapore 3 Energy Research Institute @ NTU (ERI@N), Nanyang Technological University, Singapore, Singapore

Resume : Physical Unclonable Functions (PUFs) address the inherent limitations of conventional hardware security solutions in edge-computing devices. Despite impressive demonstrations with silicon circuits and crossbars of oxide memristors, realizing efficient roots of trust for resource-constrained hardware remains a significant challenge. Hybrid organic electronic materials with a rich reservoir of exotic switching physics offer an attractive, inexpensive alternative to design efficient cryptographic hardware, but have not been investigated till date. Here, we report a breakthrough security primitive exploiting the switching physics of one dimensional halide perovskite memristors as excellent sources of entropy for secure key generation and device authentication. Measurements of a prototypical 1 kb propyl pyridinium lead iodide (PrPyr[PbI3]) weak memristor PUF with a differential write-back strategy reveals near ideal uniformity, uniqueness and reliability without additional area and power overheads. Cycle-to-cycle write variability enables reconfigurability, while in-memory computing empowers a strong recurrent PUF construction to thwart machine learning attacks.

E.4.4
16:15 Discussion    
16:30 Coffee Break    
 
Neuromorphic Systems 1 : Rohit John
16:45
Authors : Zonglong Li①, Paoline Coulson①, Benjamín Iñíguez②, Krunoslav Romanjek③, Laurie E. Calvet①
Affiliations : ① Center for Nanoscience an Nanotechnology, CNRS-Université Paris-Saclay, Palaiseau, France; ② Department of Electronic, Electrical and Automatic Control Engineering, University Rovirai Virgili, Tarragona, Spain; ③ CEA-LITEN, 38000 Grenoble, France;

Resume : Numerous studies have shown that spike-based neural networks offer more computational power than other traditional architectures. The TOLAE (Thin Organic Large Area Electronics) has been successfully used to implement a wide range of sensors and conventional circuits, however, experiments with artificially spiking neuron circuits have been far rarer. We simulated spiking neuron circuits in gravure printed scalable OTFT technology and analyzed the circuits' behavior as the transistor size varies, then re-examined the output of the simulated circuit using improved transistor parameters based on previous research. Finally, to apply to classification tasks of ECG signals, we discussed how to make use of the inherent variability from processing and also compared our classification results with those from traditional artificial intelligence using frequency dependence. Furthermore, this circuit has the potential to significantly reduce ECG data and power consumption. According to the results of our study, these circuits offer a promising low-cost way to integrate neuromorphic hardware with sensors fabricated.

E.5.1
17:00
Authors : C. Dias 1, D. Castro 2, M. Aroso 2, J. Ventura 1 and P. Aguiar 2
Affiliations : 1 IFIMUP, Departamento de Física e Astronomia, Faculdade de Ciências, Universidade do Porto, Rua do Campo Alegre s/n, 4169-007 Porto, Portugal 2 Neuroengineering and Computational Neuroscience Lab, Instituto Nacional de Engenharia Biomédica (INEB); Instituto de Investigação e Inovação em Saúde (i3S), Universidade do Porto, Rua Alfredo Allen, 208, 4200-135 Porto, Portugal

Resume : Significant efforts are being made to develop nanoscale electronic devices capable of emulating the dynamics of natural synapses to be integrated into neuromorphic circuits. Furthermore, to couple different neuronal populations via such artificial synapses bears great potential for therapeutic strategies focused on the monitoring and control of neuronal electrical activity. Such hybrid systems, effectively coupling biological and electrical components, are important milestones for the development of a new generation of neuroprosthetic devices aimed to address a number of challenging neurologic disorders. Memristors have gained attention as a core component is these hybrid systems, mainly because of their neuromorphic properties, small size and low power signature. Relevant proofs of concept have already been presented in the literature, but we argue that crucial aspects have not yet been demonstrated on how these memristor-based hybrid systems can effectively operate in a meaningful way. Here we show, for the first time, how biological in vitro neuronal populations can be dynamically coupled with a memristive device acting as a synapstor, forming a hybrid bio-electronic system. We demonstrate that the conductance state of a memristor can be changed by the electrical activity of biological neurons and mediate a dynamic connection between isolated spiking neuronal populations. Our system connects biological neurons to microelectrodes, amplifier, memristor, stimulator, microelectrodes, and back to biological neurons, in an effective real-time configuration that does not use software nor simulations. This allowed us to have the Target neuronal population being effectively activated if, and only if, there is network bursting activity in the Source population. Importantly, we demonstrate that our artificial synapse is capable of short-term plasticity, dynamically changing its conductance level in both directions. Our results pave the way for further implementation of elements able to perform more complex modulatory operations in neuronal populations.

E.5.3
17:15 Discussion    
Start atSubject View AllNum.
 
Oxide-based Devices 2 : Giuliana di Martino
09:00
Authors : Regina Dittmann
Affiliations : Peter-Grünberg-Institute (PGI-7), Research Center Jülich and JARA-FIT, 52428 Jülich, Germany

Resume : For the most common type of memristive devices, the non-volatile change of the resistance is induced by the movement of oxygen vacancies along nanosized filaments. In contrast to this, in area-dependent memristive devices the ionic motion as well as the change of the resistance occurs along the whole devices area. We will give an overview over area-dependent memristive devices based on the p-conducting Pr0.7Ca0.3MnO3 (PCMO) and different tunnel barriers and eludidate the underlying switching mechanisms by operando spectroscopy. We will discuss the differences of these devices to the common filamentary devices with respect to switching kinetics, reliability and analogue operation. Based on the very gradual type of switching, the resistance can be tuned in an analogue fashion by repeated switching with voltage pulses of the same amplitude and polarity. We investigate in detail the impact of different pulse heights and pulse lengths on the shape of the stepwise SET and RESET curves. We use these measurements as input for the simulation of training and inference in a multilayer perceptron for pattern recognition, to show the use of PCMO based ReRAM devices as weights in artificial neural networks which are trained by gradient descent methods. Based on this, we identify certain trends for the impact of the applied voltages and pulse length on the resulting shape of the measured curves and on the learning rate and accuracy of the multilayer perceptron.

E.6.1
09:30
Authors : Markus Hellenbrand, Hongyi Dou, Ming Xiao, Aiping Chen, Haiyan Wang, Quanxi Jia, Judith Driscoll
Affiliations : Department of Materials Science and Metallurgy, University of Cambridge, UK; School of Materials Engineering, Purdue University, USA; Los Alamos National Laboratory, USA; Department of Materials Design and Innovation, University at Buffalo, USA

Resume : Resistive switching (RS) provides great promise to be an enabler for energy-efficient neuromorphic computing (NC). In order for NC applications to be widely available as energy-efficient hardware implementations rather than power-hungry software simulations, it needs to be implemented on the basis of industry-compatible materials for easy fabrication. As a further consideration, non-filamentary RS can be very advantageous for NC, since it may enable gradual tuning of states and thus of synaptic functionalities. Here, we present non-filamentary RS in hafnium-oxide-based thin films and investigations of transport mechanisms in the films, when they are made into metal-oxide-semiconductor capacitors. Furthermore, we present investigations into relevant performance metrics such as endurance, retention, and synaptic plasticity. The understanding of switching and transport mechanisms in industry-compatible materials such as hafnium oxide can be a significant contribution to bridging the gap between fundamental science and large-scale producibility.

E.6.2
09:45
Authors : Ming Xiao, Markus Hellenbrand, Zhuotong Sun, Judith L. MacManus-Driscoll
Affiliations : Department of Materials Science & Metallurgy, University of Cambridge, CB3 0FS, United Kingdom

Resume : Resistive switching (RS) materials, often called memristors, are promising candidates for next-generation non-volatile memory and neuromorphic computing applications. Positive attributes include simplicity, compatibility with conventional semiconductor processes, and the potential for 3D and scaling. With the introduction of the ionic materials deposited at CMOS-compatible temperatures, we demonstrated pronounced and uniform resistive switching performance at low voltages, strong endurance (>1E7 cycles), stable multilevel switching for more than 32 levels, and fast switching speed of less than 20ns. Furthermore, these devices demonstrated promising synaptic characteristics including short term plasticity, long-term potentiation/depression, etc. The introduction of ionic conductor material could be very promising for future memory devices as well as neuromorphic computing applications.

E.6.3
10:00
Authors : Atif Jan(a), Giuliana Di Martino(a)
Affiliations : (a) Department of Materials Science and Metallurgy, University of Cambridge, Cambridge, UK

Resume : Ferroelectric random-access memories (FeRAM) switching is achieved by ferroelectric switching of dipoles. They excel in terms of power consumption and low voltage operation, when stacked against current-driven contenders. Unfortunately, FeRAMs have been restricted to niche markets due to their limited CMOS-compatibility and severe scaling issues of the complex ferroelectric perovskite systems. However, the discovery of ferroelectricity in binary oxides gave an impetus for development of universal memory concept, which may lead to a significant breakthrough in the development of memory devices. Binary oxides generally do not suffer from a “dead layer effect”, which makes non-binary oxides, such as perovskites, ineffective for thin film technology. Moreover, high coercive fields inside binary oxides give them a considerable resilience toward internal depolarization of the ferroelectricity, crucial to achieve scalability as well as overcome the widespread reliability disadvantages of FE material. The underlying reasons for the stable ferroelectricity and distinct switching of FE domains inside binary oxides at an atomic level are poorly understood. In this work, we use electrical and optical characterizations like darkfield spectroscopy to understand the nano-kinetics of the atomic level switching. The analysis of Raman spectra provides vital insights into the origin of switchable polarization inside binary oxides.

E.6.4
10:15 Discussion    
10:30 Coffee Break    
 
Design for Energy-efficient Systems : Stefano Brivio
10:45
Authors : Abu Sebastian
Affiliations : IBM Research - Zurich

Resume : In-memory computing (IMC) is an emerging computing paradigm where the physical attributes of memory devices are exploited to compute in place thus obviating the need to shuttle around data between memory and processing units. Phase-change memory (PCM), with its well-understood device physics, volumetric switching, and easy embeddability in a CMOS platform is particularly well suited for IMC. The analog storage enables efficient matrix-vector multiply operations that are key to applications such as deep neural network inference. It has been shown that software-equivalent classification accuracies can be reached with custom training procedures. Recently, a fully integrated PCM-based IMC core was introduced where the PCM array is integrated into 14nm CMOS technology. In this talk, I will give an overview of PCM-based IMC, some of the key challenges, and will provide an overview of the research directions aimed at overcoming those challenges.

E.7.1
11:15
Authors : T. Stecconi, Y. Popoff, D. Falcone, R. Guido, A. La Porta, F. Horst, B. J. Offrein and V. Bragaglia
Affiliations : IBM Research GmbH-Zurich Research Laboratory, CH-8803 Rüschlikon, Switzerland

Resume : Executing the latest Artificial Intelligence (AI) tasks on modern computers based on the von-Neumann architecture is becoming more and more power-hungry, due to the increasing neural network size and volume of the data involved. Especially, the movement of data between memory and the processing units is a highly energy consuming operation and is generally the performance bottleneck. The path to the future of electronics for AI could embrace signal processing in analog memory devices based on the in-memory computing concept. When organized in crossbar arrays, resistive-switching technologies such as RRAMs or PCMs can be used to perform vector-matrix-multiplications (VMMs), the core calculation of AI’s inference and training tasks, in a single operation, by exploiting Ohm’s and Kirchoff’s law. Such technologies are scalable, two-terminal, and can be integrated in dense crossbars connected close to the Si-based transistors, to create a high performing synaptic analog signal processing accelerator. In recent technology developments [1], it was demonstrated that filamentary-based RRAMs with an engineered material stack, made of an oxide bilayer sandwiched between metallic electrodes, provide bidirectional gradual resistive switching to achieve the accumulative behavior of the conductive states, upon the sequential application of identical pulses, in both directions. This property makes such devices attractive for DNNs’ training applications, where symmetric conductance updates are an essential requirement of the training algorithm [2]. In the oxide bilayer concept, the capping of the resistive switching insulator is done with a conductive-metal-oxide (CMO) material, to keep the forming voltages low. Although bilayer RRAMs have already shown remarkable capabilities to implement neuromorphic systems, the resistive switching mechanism, as well as the role of the CMO, is not yet fully understood [3]. In our work we developed multiple CMO/HfO2 RRAM structures, changing the CMO properties such as the resistivity and thickness. Multiple electrode metals were used to understand the impact on the resistive switching properties. Furthermore, we provide an interpretation of the switching polarity and the gradual switching characteristics that are the main enhancements compared to conventional filamentary devices. To explain the switching properties of our CMO/HfO2-based devices we performed Impedance Spectroscopy experiments to establish an electrical equivalent circuit model describing the quasi-static forming, as well as the set and reset operations. Our filamentary bilayer ReRAMs represent a promising analog memory device for large-scale integration, considering its excellent granular switching properties and CMOS compatibility.

E.7.2
11:30
Authors : M. Escudero *(1), L. Pancioni(2), M. Forti(2), A. Tesi(3), F. Corinto(4), S. Spiga(1) and S. Brivio(1)
Affiliations : (1) CNR-IMM, Unit of Agrate Brianza, Agrate Brianza, 20864, Italy (2) Università degli Studi di Siena, Siena, 53100, Italy (3) Università degli Studi di Firenze, Florence, 50121, Italy (4) Politecnico di Torino, Turin, 10129, Italy

Resume : Chaotic circuits are composed of both linear elements and nonlinear circuit blocks emulating nontrivial electrical characteristics. This emulation implies a cost in terms of components that may stop these circuits from being attractive in applications for which they are suited, such as signal processing, secure communication, or even bio-inspired analog computing. In order to address this challenge, our proposal heads towards the use of novel device technologies with specific nonlinear characteristics to alleviate circuit complexity while incorporating other additional features. To this aim, we present a memristor-based Chua circuit, the simplest circuit exhibiting a chaotic behavior, where a nonvolatile resistive switching device or memristor based on a Pt/HfO2/TiN stack is used as a tunable nonlinear element. Concretely, the nonlinear part of the circuit contains a negative impedance converter and a memristor, providing local negative resistance and nonlinear characteristics, respectively. Furthermore, memristor nonlinear characteristics can be tuned by programming the memristor into different conductance states. Overall, the incorporation of the memristor contributes to a much more compact and scalable circuit with richer features. One of the fundamental ingredients of chaos is nonlinearity. For the Chua circuit, we are interested in the nonlinearity of the current-voltage characteristics. A first analysis to figure out the ideal characteristics for our device in this implementation revealed that higher nonlinearity in the conductivity was more suitable for showing chaotic behavior. Hence, electrical characterization of the device was performed in order to find the proper programming conditions to find such optimum levels of nonlinearity. Additionally, the nonlinear conductivity of the memristor was modeled using the data from the electrical characterization. Such a model was required for the subsequent circuit design and optimization. In particular, we developed a design procedure to tune the circuit in order to obtain a chaotic behavior while being robust against device variability and unreliability. Finally, the aforementioned previous approach led to the physical circuit implementation that includes the Pt/HfO2/TiN device. We successfully showed the possibility to obtain different oscillatory responses from periodic signals to complex and aperiodic ones, which are characteristic of chaotic systems. This work is partially supported by the project COSMO (Prot. 2017LSCR4K).

E.7.3
11:45
Authors : Saverio Ricci, Piergiulio Mannocci, Matteo Farronato, Shahin Hashemkhani, Daniele Ielmini
Affiliations : Politecnico di Milano; Politecnico di Milano; Politecnico di Milano; Politecnico di Milano; Politecnico di Milano;

Resume : In-memory computing (IMC) with crosspoint arrays of resistive switching memory (RRAM) has gained enormous attention for accelerating machine learning, data analytics and deep neural networks. A key operation is the matrix-vector multiplication (MVM), which can be computed in the crosspoint array in one step operation in the analog domain. However, a key issue for in-memory MVM is the forming operation of the RRAM which limits the stability and accuracy of the conductance state in the memory device. In this work, we report a hardware implementation of crosspoint array of formingless RRAM for fast, energy-efficient accelerators of MVM. The RRAM device is based on a Ti/HfO2/Pt stack with an array of size of up to 16x16. By careful tuning of the deposition time of the evaporated HfO2 layer, our RRAM is generally found in a low resistance state that can be reset to obtain a high resistance state and initialize the set/reset operation. The absence of a large forming voltage for the initialization of the RRAM device allows to program each device of the array with high precision, thus providing an ideal candidate for high density passive memory arrays for IMC. The RRAM conductance can be tuned within a large window between 1 µS and 1000 µS, which can be programmed in an analog way either by increasing the compliance current during the set operation, or by increasing the reset voltage during the reset operation, with a standard deviation of the error generally below 3 µS. To fully demonstrate the IMC functionality, we show MVM in a 6x6 crosspoint array. We show eigenvalue/eigenvector calculation by the power-iteration algorithm, with a fast convergence within about 10 iterations to the correct solution. We show eigen-decomposition by the deflation technique and principal component analysis (PCA), enabling clustering of the Iris dataset with a 98% accuracy compared to a floating-point implementation. These result support forming-less crosspoint arrays for accelerating advanced machine learning with IMC.

E.7.4
12:00 Discussion    
12:15 Lunch Break    
13:45 Plenary Talk    
15:00 Coffee Break    
 
Optical Methods and Systems : Ignasi Fina
15:15
Authors : Giuliana Di Martino(a), Angela Demetriadou(b), Weiwei Li(a), Dean Kos(c), Bonan Zhu(a), Xuejing Wang(d), Bart de Nijs(c), Haiyan Wang(d), Judith MacManus-Driscoll(a), Jeremy J. Baumberg(c)
Affiliations : (a) Department of Materials Science and Metallurgy, University of Cambridge, Cambridge, UK; (b) School of Physics and Astronomy, University of Birmingham, Birmingham, UK; (c) NanoPhotonics Centre, Cavendish Laboratory, University of Cambridge, Cambridge, UK; (d) School of Materials Engineering, Purdue University, West Lafayette, IN, USA

Resume : In recent years, resistive switches have been widely developed because of their low power consumption, nanosecond-scale response and logic-in-memory applications. The switching mechanism of valence change memories involves the migration, accumulation, and rearrangement of oxygen vacancies within a dielectric medium to change the electrical conductivity, triggered by an external applied potential. The ability to look deep inside materials to unveil how morphological changes characterise the functioning of active devices has been vital. However, current technologies are often destructive and invasive and despite significant research efforts, a microscopic picture of how exactly the mobile species actuate device switching is still under debate [1, 2]. In-situ characterization techniques that can visualize oxygen vacancy migration in real-time and at nanoscale resolution would be hugely valuable to achieve reliable and predictable nanodevices at the wafer scale. We develop a novel non-destructive fully-optical technique [3] to observe in-situ and in real-time the oxygen vacancy migration within a switching material under ambient conditions, otherwise invisible to conventional electron microscopy techniques. The formation of oxygen-vacancy-induced filaments in the switching material is captured within a tightly-confined plasmonic hotspot [4]. This allows the optical measurements to be intimately linked to the electrical and material properties, hence accessing switching dynamics directly. Different optical resonances are independently sensitive to either build-up of oxygen vacancies or formation of O2 nano-reservoirs at the interfaces. Our spectroscopy resolves the movement of several hundred oxygen vacancies even before any electrical transport change is observed. We find that oxygen vacancies build up at the material interfaces, and that O2 bubbles of a few nm-size forming at interfaces are enough to cause long term degradation [5]. References [1] Yang, J.J. et al, (2013) Nature Nanotech, 8, 13. [2] Sun, W. et al, (2019) Nat Comm, 10, 3453. [3] Di Martino, G. et al, (2016) Small, 12, 10, 1334 [4] Baumberg, J.J. et al, (2019) Nat. Mater. 18, 668. [5] Di Martino, G. Et al. (2020) Nature Electronics 3, 687

E.8.1
15:30
Authors : Huan Tan, Florencio Sánchez, Ignasi Fina
Affiliations : Institut de Ciència de Materials de Barcelona (ICMAB-CSIC), Campus UAB, Bellaterra, Catalonia 08193, Spain

Resume : Memristors should occupy an important part of the currently under development neuromorphic computing architectures. Conventional memristors can store multiple resistance states by suitable application of external voltages pulses, as a result these can realize artificial synapses functionalities. The mechanisms governing the memristor activity in most of the currently studied materials present some disadvantages, like limited reliability and high energy consumption. Ferroelectric materials are known for their intrinsic spontaneous polarization. Electrical tuning of polarization switching does not require charge injection. Thus, polarization and concomitantly resistance can be modulated by applied voltage in open circuit conditions. Recently, the ferroelectric polarization switching by optical effect is being intensely studied. This might enable the implementation of ferroelectric oxide based memristors with a higher operation speed and lower energy consumption. Here, we present the control of ferroelectric polarization by light in a systematic manner. The study allows to infer analytic expressions for optically induced ferroelectric switching as a function of light power and wavelength, which is of high interest for the development of compact models for these systems. The presented results should contribute to the development of low energy consumption memories and neuromorphic devices optically excited and based on ferroelectric materials.

E.8.2
15:45
Authors : Subin P S, Midhun P S, Aldrin Antony, K J Saji, M K Jayaraj
Affiliations : Subin P S - Centre of Excellence in Advanced Materials, Cochin University of Science and Technology, Kochi - 682 022, Kerala, India.; Midhun P S - Department of Physics, Cochin University of Science and Technology, Kochi - 682 022, Kerala, India.; Aldrin Antony - Centre of Excellence in Advanced Materials, Cochin University of Science and Technology, Kochi - 682 022, Kerala, India. Department of Physics, Cochin University of Science and Technology, Kochi - 682 022, Kerala, India.; K J Saji - International School of Photonics, Cochin University of Science and Technology, Kochi - 682 022, Kerala, India. Centre of Excellence in Advanced Materials, Cochin University of Science and Technology, Kochi - 682 022, Kerala, India. Inter University Centre for Nanomaterials and Devices, Cochin University of Science and Technology, Kochi - 682 022, Kerala, India.; M K Jayaraj - University of Calicut, Thenjipalam – 673 635, Kerala, India.

Resume : Resistive switching based optoelectronic artificial synapse enables future neuromorphic computing to be more efficient. Hence the development of better optoelectronic artificial synapses becomes considerably important for the era of next-generation neuromorphic computing and neuromorphic visual systems. Optoelectronic artificial synapses can empower neuromorphic visual systems even beyond the visible light region, which has substantial potential to mimic the essential functions of the human visual system. The present study demonstrates an ITO/ZnO/Ag optoelectronic artificial synapse with many fundamental bio-synaptic characteristics. The devices exhibit excellent paired-pulse facilitation with both electrical and optical input stimuli. The transition from short-term to long-term memory was observed for the frequent and high number of input stimuli similar to bio-synapse. Essential Hebbian learning protocols, such as spike-timing-dependent plasticity and spike-rate-dependent plasticity, were successfully emulated in this artificial synapse. It also possesses light-tunable synaptic plasticity, which enables real-time neuromorphic visual pre-processing such as contrast enhancement and noise reduction. Therefore, the fabricated optoelectronic artificial synapse may play a significant role in realizing efficient neuromorphic computing and neuromorphic vision sensor.

E.8.3
16:00
Authors : W. Román Acevedo (1), M. H. Aguirre (2), C. Ferreyra (1), M.J. Sánchez (1,3), M. Rengifo (1), C. A. M. van den Bosch (4), A. Aguadero (4), B. Noheda (5), D. Rubi (1)
Affiliations : (1) Instituto de Nanociencia y Nanotecnología (INN), CONICET-CNEA, Argentina; (2) Instituto de Nanociencia y Materiales de Aragón (INMA-CSIC) and Dpto. de Física de la Materia Condensada and Laboratorio de Microscopías Avanzadas, Universidad de Zaragoza, Spain; (3) Centro Atómico Bariloche and Instituto Balseiro (UNCu), Bariloche, Argentina; (4) Department of Materials, Imperial College London, United Kingdom; (5) Groningen Cognitive Systems and Materials Center (CogniGron) and Zernike Institute for Advanced Materials, University of Groningen (RuG), The Netherlands

Resume : In our information society, the use of Machine Learning (ML) algorithms is exponentially growing and concerns are being raised about their energetic sustainability. The Von Neumann architecture of current computers is highly inefficient for the processing of large amounts of data and, thus, the development of new hardware able to do parallel processing as well as to overcome the von-Neuman bottleneck is needed to perform complex tasks such as computer vision or speech recognition more efficiently, reducing the associated carbon footprint. Neuromorphic computing ?which aims to imitate the architecture and the information processing mechanisms of the human brain- appears as one of the promising avenues in this direction. Oxide-based memristors ?able to change their resistance between different states- mimic the analog synaptic weights of biological synapses and could form the building blocks for the development of future neuromorphic hardware. Some memristive systems display additional functionalities such as memcapacitance ?change of a device capacitance between different non-volatile states- and could significantly outperform memristors in bio-inspired devices; indeed, it has been proposed that a neural network based on memcapacitors can improve the energy consumption of a memristor-based network by a factor 10^3 [1]. Perovskite oxides that undergo topotactic transitions and redox reactions show improved performance as mem-systems, compared to standard perovskites. We have previously shown that topotactic redox La$_{1/2}$Sr$_{1/2}$Mn$_{1/2}$Co$_{1/2}$O$_{3-x}$ (LSMCO) thin films grown on Nb:SrTiO$_3$ (NSTO) display multi-mem (memristive and memcapacitive) properties [2]. The observed behaviour originates at a switchable n-p diode formed at the NSTO/LSMCO interface and the measured memcapacitance is a factor of 10 larger than the best reported to date [2]. In the present work, we address several strategies to optimize the multi-mem behaviour of NSTO/LSMCO systems. We explore devices with different crystallinity (from amorphous to epitaxial LSMCO), out-of-plane orientation ((001) and (110)) and stimulated either with voltage or current pulses. We found that an optimum memory response exists for epitaxial (110) LSMCO stimulated with current pulses [3]. Under these conditions, the system efficiently exchanges oxygen with the environment minimizing, at the same time, self-heating effects that could trigger nanostructural and chemical changes, affecting the device integrity and performance. Our work contributes to pave the way for the integration of LSMCO-based devices in crossbar arrays, in order to exploit their memristive and memcapacitive properties for the development of neuromorphic or in-memory computing devices. [1] S. J. D. Tran et al., Int. J. Unconv. Comput. 13, 35 (2017). [2] W. Román Acevedo et al., Appl. Phys. Lett. 116, 063502 (2020). [3] W. Román Acevedo et al., APL Materials 10, 011111 (2022)

E.8.4
16:15 Discussion    
Start atSubject View AllNum.
 
Nanowires and 2D Materials Systems : Giuliana di Martino
09:00
Authors : Ruomin Zhu, Alon Loeffler, Sam Lilak, Christopher Dunham, Joel Hochstetter, Adam Stieg, James Gimzewski, Zdenka Kuncic
Affiliations : University of Sydney; University of Sydney; University of California at Los Angeles; University of California at Los Angeles; University of Cambridge; California NanoSystems Institute and University of California at Los Angeles; University of California at Los Angeles; University of Sydney

Resume : Bottom-up self-assembly of metallic nanowires produces a network of nanoscale memristive junctions with highly heterogeneous interconnectivity. This bears strong resemblance to the structure-function relationship between biological neural networks and their neurons and synapses. In Ag-based nanowire networks (NWNs), we found that neuro-synaptic functionality is emulated by threshold-driven, bipolar memristive switching, augmented by coupling to the network. We also found that the internal memristive dynamics of metal-core Ag-PVP NWNs differs from that of Ag2Se atomic switch NWNs, indicative of differences in the nanoscale electro-ionic transport mechanisms. Interestingly, however, these differences do not appear to affect the neuromorphic properties of their collective nonlinear dynamics, with neuronal-like spiking statistics observed in both types of NWNs. This suggests the potential of NWNs as a platform technology for next-generation brain-inspired electronic devices.

E.10.1
09:30
Authors : Matteo Farronato, Margherita Melegari, Saverio Ricci, Shahin Hashemkhani, Alessandro Bricalli, Daniele Ielmini
Affiliations : Matteo Farronato; Margerita Melegari; Saverio Ricci; Shahin Hashemkhani; Daniele Ielmini Dipartimento di Elettronica, Informazione e Bioingegneria (DEIB), Politecnico di Milano and IUNET, piazza L. da Vinci 32, 20133, Milano, Italy Alessandro Bricalli Weebit Nano, Hod Hasharon, Israel

Resume : In recent years, the need for fast, robust, and scalable memory devices has spurred the exploration of advanced materials with unique electrical properties. Among these materials, 2D semiconductors are promising candidates as they combine atomically-thin size, semiconductor behavior, and CMOS compatibility. Here we present a three-terminal memtransistor device based on multilayer MoS2 with an ultra-short channel length (below 40 nm) that combines the usual transistor behavior of 2D semiconductors with resistive switching memory operation. The volatile switching behavior is explained by the Ag cation migration along the channel surface. We present an extensive physical and electrical characterization to investigate the fundamental properties of the device. First, we show the excellent transistor characteristic, with a subthreshold swing exceeding 5 orders of magnitude, limited by the measurement sensitivity. Then, we focus on the volatile memristive switching, caused by the metal ions migration along the channel. This behavior is not depending on the gate terminal but only on the source-drain electric field. Typical values of set voltage are below 1.5 V, while the forming voltage (the first set event) is typically bigger and depends on the channel length of the device. We show that the ON and OFF states of the memtransistor device can be independently controlled via the compliance current and the gate bias, respectively. We also perform pulsed retention experiments discovering a retention time below 1 s due to spontaneous atomic rediffusion. We realized AFM and SEM characterizations which show the movement of the metal ions along the channel and demonstrate the device failure mechanisms. Finally, we present a chain-type memory array architecture similar to a NAND flash structure consisting of memtransistors. The individual memory devices can be selected for write and read, paving the way for high-density, 3D memories based on 2D semiconductors.

E.10.2
09:45
Authors : Z. Geng, C. Zhang, S. Park, C. Ziebold, S. Sharma, F. Schwierz, K. Rossnagel† , and M. Ziegler
Affiliations : Mikro‑ und nanoelektronische Systeme, Fakultät für Elektrotechnik und Informationstechnik, Technische Universität Ilmenau, 98693 Ilmenau, Germany †Institute of Experimental and Applied Physics, Kiel University, 24098 Kiel, Germany, and Ruprecht Haensel Laboratory, Deutsches Elektronen-Synchrotron DESY, 22607 Hamburg, Germany

Resume : Because of their intrinsic memory property and their ability to mimic the operation of biological synapses, memristive devices (memristors) have received a lot of attention in the field of electronics. While the majority of the memristors reported so far are made up of metal-insulator-metal stacks with metal oxide layers serving as the active region, memristive effects have recently been observed in 2D materials as well, particularly in TMDCs (transition metal dichalcogenides) such as MoS2. In the present paper we report the fabrication of lateral memristors using TMDC nanosheets as channel materials. Our memristor consists of mechanically exfoliated MoS2, HfS2, and WSe2 flakes transferred to a SiO2/Si substrate and two Ti/Au contacts serving as source and drain. Subsequently, electrical characteristics of the devices are demonstrated, including the results of DC and pulsed measurements. The current-voltage characteristics measured under various environmental conditions (ambient air and N2 atmosphere) reveal that the shape of the hysteresis as well as the absolute current values are greatly influenced by the environment. Furthermore, bare devices without protection (i.e., without passivation layers) can degrade rapidly during operation, especially under ambient air. It is worth mentioning that (i) our MoS2 devices exhibit memristive behavior already at very low sweep voltages (some devices as low as 1 V), which are significantly lower than the typical switching voltages of 5 … 80 V reported by other groups, and (ii) several of our WSe2 devices show on-off ratios in excess of 1000. Furthermore, the devices have been subject to dynamic measurements. Pulsed tests clearly highlight the synaptic behavior of our MoS2, HfS2, and WSe2 devices and show that both the pulse amplitude and duration affect the features of memristive switching.

E.10.3
10:00 Discussion    
10:15 Coffee Break    
 
Nanowires and 2D Materials Systems 2 : Erika Covi
10:45
Authors : Joanna Symonowicz(a), Giuliana Di Martino(a)
Affiliations : (a) Department of Materials Science and Metallurgy, University of Cambridge, Cambridge, UK

Resume : Up to 80% of the computing energy is consumed in the data-transfer between logic and memory units. Resistive switching memories enable modern in-memory computing architectures, where memory and logic are no longer separated, avoiding inefficient shuttling of information. Materials suitable for memristive switches are thus in high demand and among the contenders are MoS2 nanosheets. However, their switching mechanisms is still debated. In our work, we tightly confine light, i.e. plasmonic hotspots, within the electrically switched MoS2 nano sheets. This allows to optically track in-situ and in real-time morphological changes happening in nm scale Au/MoS2/Au memristive cells. This novel non-destructive fully-optical technique enables Raman and photoluminescence analysis from single-digit nm areas, hence providing unprecedented insights into the underlaying mechanism of the MoS2 memristive switching.

E.11.1
11:00
Authors : Litty Thomas Manamel, Dr. Bikas C. Das
Affiliations : School of Physics, Indian Institute of Science Education and Research (IISER) Thiruvananthapuram, Kerala, India.

Resume : 2D materials owing to their versatility, scalability and stability, have attracted considerable interest for device applications. Promising ReRAM results have been exhibited by 2D transition metal dichalcogenides (TMDs) particularly MoS2 manifested by extensive research explicitly in this direction. We report robust and highly reproducible nonvolatile resistive switching (RS) devices with artificial synaptic functionalities utilizing redox-exfoliated few-layered 2H-MoS2 nanoflakes embedded in polystyrene (PS) matrix. Our work demonstrates a simple and cost-effective device fabrication route with devices exhibiting prominent electroforming-free RS property with varying MoS2 concentration. The mechanism of charge transport was confirmed through fitting the results with theoretical models, say injection-dominated Schottky emission model for low-conducting states and space-charge-limited current mechanism for the high-conducting state. A relatively high current On/Off ratio 102 was recorded during the pump-probe testing revealing resistive random-access memory (ReRAM) application. Finally, artificial synaptic functionalities-the building blocks of neuromorphic computing architectures is also illustrated by considering the robust RS property and ReRAM application. [1] Manamel LT et al. “Electroforming-free nonvolatile resistive switching of redox-exfoliated MoS2 nanoflakes loaded polystyrene thin film with synaptic functionality.” Nanotechnology 32 (35), (2021).

E.11.2
11:15
Authors : Mrinmoy Dutta, Stefano Brivio, Mario Alia, Sabina Spiga
Affiliations : CNR-IMM, Unit of Agrate Brianza, via C. Olivetti 2, 20864 Agrate Brianza (MB), Italy

Resume : Spiking neural networks are one of the most promising research areas because of some favorable features such as low energy consumption, fast inference and event-driven data processing. The network architecture consists of synapses and neurons which possess unique feature of short-term dynamics (STD) for temporal information processing. Volatile resistance switching memristors are recently receiving an increasing interest to implement in hardware nanoscale devices exhibiting STD. Different solid electrolytes, including oxides, in combination with Ag or Cu active electrodes have been explored so far. The volatile memristors are usually in the pristine high-resistance state, and when a bias is applied to the active electrode, the cations migrate to the switching layer forming a conductive filament connecting the two electrodes in a low-resistance state. The formed filament is unstable and self-dissolve once the applied voltage falls below a hold value. Volatile switching can be repetitively achieved by applying a voltage above a threshold value, which is termed as threshold switching voltage, while the bias at which the device goes back to high resistance state is identified as the hold voltage. The device relaxation time can span various order of magnitudes in the ns-s range. In this work, we study into detail Ag/SiOx -based volatile memristive devices and their switching/relaxation dynamics. The devices under consideration in this work are based on the n++-Si/Ti/Pt/10 nm SiOx/Ag/Au stacks. The SiOx layer is deposited on the Pt substrate at room temperature and in vacuum by electron beam evaporation. Then, the samples are annealed in N2 ambient for 10 minutes at 400 °C. The Ag and Au layers forming the top electrode are deposited in sequence, without breaking the vacuum, by electron bean evaporation. The device area is defined by optical lithography via lift off process. The devices require forming process. The statistical distribution of the forming voltage was obtained with average value of 0.27 V and standard deviation of 0.05V. The devices can sustain long DC endurance at current compliance ranging from 100 nA to 100 µA for 1000 cycles. The average value of the threshold switching voltage was 0.13 V. The average value of hold voltage was 0.06 V. The distribution in hold voltage can be attributed to randomness in the spontaneous relaxation process of the filament in switching layer. Pulse response was performed with discrete pulses of various amplitudes and widths. The switching time reduced inverse exponentially with respect to pulse amplitude. Relaxation time increased with increasing pulse width. Few tens of millisecond long relaxation process was measured. However, a large variability in the relaxation process was observed. Finally, we measured the device response under trains of applied pulses. This research is supported by H2020 EU project MeM-Scales (grant agreement No. 871371).

E.11.3
11:30
Authors : Taglietti, F.*(1), Moro, F.(1), van de Ven, B.(2), van der Wiel, W.G.(2), Fanciulli, M.(1)
Affiliations : (1) Department of Material Science, University of Milano-Bicocca, Italy; (2) MESA+ Institute for Nanotechnology and BRAINS Center for Brain-Inspired Nano Systems, University of Twente, The Netherlands

Resume : Dopant network processing units (DNPU) based on a network of randomly distributed acceptors or donors in silicon have been recently proposed as a promising scalable platform for “in materia” computing [1]. A DNPU exploits the ability to perform nonlinear projection intrinsically. The DNPUs investigated in this work consist of networks of randomly distributed arsenic atoms in silicon. An active region with a diameter of 300 nm is defined by eight aluminum electrodes. Depending on the dopants concentration and at sufficiently low temperature, charge transport is dominated by variable range hopping resulting in a non-linear response. A more complex nonlinearity, revealing negative differential resistance (NDR), is obtained by electrostatically tuning the potential landscape of the dopants network, simply by applying control voltages at one or more electrodes. The network can be reconfigured through artificial evolution to realize different computational functions, such as nonlinear classification and feature extraction, as already demonstrated [1]. In order to extend the DNPU functionalities and to fully exploit its computational capacity, a deeper investigation of the physical properties is necessary. Here we present a study on the electrical behavior of the DNPU in different temperature ranges and on the network’s response to external magnetic fields. We report on the investigation of three different devices having a dopant concentration at the surface of 1x10^18 cm-3, 2x10^17 cm-3 and 4x10^16 cm-3. First, an electrical characterization of the DNPUs was performed cooling the system down to 4K. The charge transport mechanisms were analyzed in different temperature ranges and for the three different concentrations of dopants. We could identify in all the cases at which temperature the hopping regime becomes dominant. Furthermore, we investigated how the NDR region is affected by the different control voltages, temperature, and magnetic field. The dopants network could be tuned not only electrostatically but also magnetically enhancing the complexity of the DNPU behavior. (1) Chen, T., van Gelder, J., van de Ven, B. et al., Nature 577, 341–345 (2020).

E.11.4
11:45
Authors : Mr Nikolaos Barmpatsalos Dr Enrique Miranda Dr Adnan Mehonic Dr Wing Ng Prof Anthony Kenyon
Affiliations : University College London (UCL) Universitat Autònoma de Barcelona (UAB)

Resume : The advent of artificial intelligence and our increasing need for more sophisticated computing systems have attracted deep interest in high-density data storage and, more specifically, in novel memory concepts. A very promising option is the redox-based resistive switching memory, which can store more than two states. For analogue programming, understanding the modulation of device resistance is of utmost importance. In this regard, we have developed an analytical model to describe the gradual RESET process accurately. The nature of the RESET points to a clash between simultaneous drift and diffusion currents from electrons and ions, respectively. The model can also describe situations in which one mechanism dominates over the other. We compare simulation results with the experimental data obtained from SiOx-based memristive. Finite element analysis was also considered to clarify the role of electron confinement on the device behaviour. The proposed link between multi-bit data storage and material properties paves the way for the design of advanced neuromorphic and memory applications.

E.11.5
12:00 Discussion    
12:15 Lunch Break    
13:45 Plenary Talk    
14:45 Coffee Break    
 
Theory and Simulations : Adnan Mehonic
15:15
Authors : Damien Querlioz
Affiliations : Université Paris-Saclay, CNRS

Resume : Emerging nanoelectronic devices provide appealing features and outstanding energy efficiency, but these qualities come at a cost. With features at the atomic scale, nanodevices such as memristors often suffer from a high level of variability and unpredictability. Bayesian reasoning is a machine learning technique that functions with random variables. This type of reasoning permits decision-making in situations with incomplete information, maximally incorporating all available evidence, assumptions, and prior knowledge. In this talk, we point out that Bayesian approaches can be an excellent way to exploit nanoelectronic devices without suffering from their drawbacks. We first introduce a technique where arrays of memristors are used to reproduce a Markov Chain Monte Carlo process. In this approach, following Bayesian principles, the memristors are used as random variables, and their unpredictability is therefore exploited. We show experimentally that using this technique, an array of 16,384 memristors learned to recognize cancerous tissue images with high accuracy matching the one obtained by software approaches. Second, we introduce a Bayesian machine that uses in-memory and stochastic computing principles to perform Bayesian inference at a very low energy cost. We show measurements of a fabricated machine associating 2,048 memristors and 30,080 transistors, demonstrating the potential of the design. We finish by discussing several other possible connections between Bayesian approaches, nanodevices, and materials.

E.12.1
15:45
Authors : Dovydas Joksas, Erwei Wang, Nikolaos Barmpatsalos, Wing H. Ng, Anthony J. Kenyon, George A. Constantinides, Adnan Mehonic
Affiliations : University College London; Imperial College London, Xilinx; University College London; University College London; University College London; Imperial College London; University College London

Resume : Nowadays, machine learning is employed in a large number of cognitive tasks. Although a promising computing paradigm, approaches like artificial neural networks require repetitive movement of large amounts of data between memory and computing units in von Neumann architecture, contributing to both slow operation and high power consumption. To address this, memristor-based crossbar arrays have been proposed—they can act as fast and energy-efficient hardware accelerators for neural networks. However, memristor nonidealities can decrease accuracy, while current approaches to mitigate this often introduce design trade-offs, such as those between power and reliability. We propose a nonideality-aware ex-situ training scheme which produces more accurate, power-efficient and robust memristive neural networks. By employing this scheme, we demonstrate the feasibility of utilising highly nonlinear high-resistance devices—using experimental data, we estimate that energy efficiency is increased by three orders of magnitude while maintaining similar accuracy. As part of the scheme, we also present a weight implementation method which biases memristors towards less conductive states through regularisation and thus increases energy efficiency even further. Finally, we propose an improved training validation procedure which then leads to more reliable estimates of stochastic memristive neural network performance. We demonstrate the robustness of our approach through exposure to a wide range of nonidealities.

E.12.2
16:00
Authors : W. Quiñonez (1,2), W. Román Acevedo (1,2), M.J. Sánchez (2,3), D. Rubi (1,2)
Affiliations : (1) Centro Atómico Constituyentes, San Martín, Argentina; (2) Instituto de Nanociencia y Nanotecnología (INN), CONICET-CNEA, Argentina; (3) Centro Atómico Bariloche and Instituto Balseiro (UNCu), Bariloche, Argentina

Resume : Neuromorphic computing aims to emulate the architecture and information processing mechanisms of the brain. For this, new micro or nano-electronic devices (hardware) able to replicate the electrical behaviour of synapses and neurons are needed. Memristors -metal/insulator/metal structures able to change their electrical resistance between different non-volatile states upon the application of electrical stress- are capable of electrically reproducing the adaptive –analog- synaptic weight of brain synapses [1]. It has been shown that memristor arrays with cross-bar architecture could be a possible physical implementation of neural networks [2]. In this work, starting from the experimental potentiation/depression curves measured on different metal/manganite systems, we analyse by means of numerical simulations how the physical constraints present in real memristive systems, such as limited conductivity windows or non-linear and discrete potentiation/depression curves, affect the convergence and accuracy of simple neural networks for image recognition. We also develop strategies to accelerate the convergence by introducing stochasticity in the actualisation of the synaptic weights during the network training. The results obtained here are expected to contribute to the optimisation of hardware neural networks based on memristor cross-bar arrays. [1] S. Yu, Neuro-Inspiring Computing Using Resistive Synaptic Devices (Springer International Publishing, Cham, 2017). [2] M. Prezioso et al., Nature 521, 61 (2015)

E.12.3
16:15 Discussion    
16:30 Coffee Break    
 
Theory and Simulations 2 : Adnan Mehonic
16:45
Authors : B. Spetzler1*, S. Park1,2, T. Ivanov1,2, S. Klett1, A. Knauer2, J. Doell2, M. Ziegler1,2
Affiliations : 1 Micro- and Nanoelectronic Systems, Department of Electrical Engineering and Information Technology, Technical University Ilmenau, Ilmenau, Germany 2 Institute of Micro and Nanotechnologies MacroNano, Department of Electrical Engineering and Information Technology, Technical University Ilmenau, Ilmenau, Germany

Resume : Redox-based memristive devices have shown considerable potential for realizing synaptic functionalities in neuromorphic computing systems. Resistive switching of such devices, i.e., a hysteretic current-voltage characteristic, is caused by mobile oxygen ions or vacancies. Details of the complex underlying mechanisms and the resulting device properties depend on the geometry and material compositions. Understanding and precisely controlling these parameters is key for adapting the switching characteristics to the requirements of different computational schemes and ensuring high performance and reproducibility. Here, we present an experimental and theoretical study on multilayer redox-based memristive devices. They are fabricated on large scale with layer sequences of TiN/TiOx/HfOx/Au and TiN/TiOx/AlOx/HfOx/Au using a 4-inch full device wafer process. The process enables the systematic variation of design parameters such as the layer thickness, stoichiometry of the HfOx layer, and the area of the active part of the memristive device. With an automated data acquisition procedure, a large set of samples is electrically characterized and statistically analyzed to correlate the varied parameters with the resulting device properties. A physics-based device model is presented, and simulations are performed that provide further indications for the underlying switching mechanisms. We discuss the influence of the AlOx layer and demonstrate how the switching mechanism can be tuned to obtain either filamentary or interface-barrier-dominated switching with rectifying or nonrectifying current-voltage characteristics. The memristive elements represent suitable candidates for dense arrays because they require neither additional electroforming cycles nor current compliance. Finally, we demonstrate and discuss the integration into crossbar arrays and use finite element simulations to identify and analyze the impact of Joule heating and current sneak paths on the performance. Based on our results, implications for future array designs are derived and applications in neuromorphic computing are briefly discussed. This work was funded by the Carl-Zeiss Foundation via the Project Memwerk and the German Research Foundation (DFG) through the Collaborative Research Centre CRC 1461 "Neurotronics – Bio-Inspired Information Pathway".

E.13.1
17:00
Authors : Miquel López-Suárez, Claudio Melis, Luciano Colombo, and Walter Tarantino
Affiliations : Università degli Studi di Cagliari

Resume : Metallic nanogranular films display a complex dynamical response to a constant bias [1] in the form of an atypical resistive switching mechanism that could be used to create electrical components for neuromorphic applications [2]. To model such a phenomenon we use a multiscale approach blending together an ab initio treatment of the electric current at the nanoscale [3], a molecular dynamical approach dictating structural rearrangements, and a finite-element solution of the heat equation for heat propagation in the sample. Within such an approach, we show that some distinctive features of the resistive switching occurring in nanogranular film can arise as a consequence of Joule heating and electromigration effects. [1] Mirigliano et al, Nanoscale Adv., 1, 3119 (2019) [2] Mirigliano et al, Nanotechnology, 31, 234001 (2020); Mirigliano et al, Neuromorph. Comput. Eng., 1, 024007 (2021) [3] López-Suárez et al, Phys. Rev. Materials, 5, 126001 (2021)

E.13.2
17:15
Authors : Victor Zamora, Dovydas Joksas, Adnan Mehonic
Affiliations : GFMC, Departamento de Fisica de Materiales, Universidad Complutense de Madrid, 28040 Madrid, Spain; Department of Electronic and Electrical Engineering, University College London, Roberts Building, Torrington Place, London WC1E 7JE, UK; Department of Electronic and Electrical Engineering, University College London, Roberts Building, Torrington Place, London WC1E 7JE, UK

Resume : Behind the great achievements of deep learning technologies, an energy problem is emerging. Deep learning algorithms are commonly implemented in general-purpose digital hardware devices which, as expected, are not optimal for these types of tasks. Consequently, these algorithms require worrying amounts of energy and often weeks of computation time. In response to this problem, a more efficient hardware proposal to implement artificial neural networks is the use of memristor crossbar arrays. However, memristors' conductance values cannot be programmed with infinite precision, and there might be only a finite number of conductance states achievable, which degrades the performance of the implemented algorithms. This work provides strategies at the software level on how to train artificial neural networks to minimise these discretisation effects. For this purpose, different configurations of the learning rate, initialisation parameters and regularisation methods have been explored. Additionally, it has been found that the kurtosis of the weight distributions serves as a quick estimator of the robustness of models to discretisation effects. Significantly, the strategies developed in this work have been demonstrated to avoid up to a 12% in accuracy degradation when facing the standard visual recognition problem of classifying the handwritten digits in the MNIST database.

E.13.3
17:30
Authors : Jad Jaafar
Affiliations : Imperial College London

Resume : As the world experiences a data explosion and ever increased demand for computation which is met with serious bottlenecks in conventional computing paradigms, memristors have attracted great attention recently as candidates that can bring about the next computing revolution. Amongst the numerous memristive devices, electrochemical metallization memories (ECMs) have shown promise owing to their incredible versatility which includes digital/analog operation, volatile/nonvolatile behaviour, and operability with various materials enabling soft or flexible electronics. Such properties, featuring quantized conductance, make ECMs apt as adaptive electronics for applications in neuromorphic computing. However, ECMs still face significant obstacles, manifest as cycle-to-cycle and device-to-device variability and poor endurance, that stem from a lack of understanding of the complex microscopic processes underpinning their function. Notably, the electrochemical (EC) environment, including moisture levels and the nature of the electrolyte, has been shown to play an important role recently with observed effects on conductance quantization, ion transport, memristive behaviour and stability. With recent advancements in computational electrochemistry, it is now possible to better explore the effects of the EC environment on device operation and gain atomistic insights into the microscopic processes at play, which cannot be resolved experimentally. As such, DFT is used in this study to discern the effects of moisture and later, a water electrolyte, on conductance quantization in ECMs. A model consisting of a simple gold nanojunction, with two slabs connected by an atomically thin wire subjected to a defined bias, is studied under transforming EC environments for wires of increasing realism. DFT as implemented on CP2K is used for all simulations. Implementation includes applying the GTH pseudopotential, periodic boundary conditions and the supercell approximation. The exchange correlation functional is approximated using the robust GGA-PBE functional and electron transport properties of all structures are determined using the nonequilibrium Green’s function approach in combination with DFT (NEGF + DFT). Finally, the Nørskov method is used for bias dependency. In this presentation the formalism of first principles electrochemistry will be outlined and its application to the molecular engineering of memristors discussed.

E.13.4
17:45 Discussion    
18:00 E-MRS EU-40 Materials Prize & MRS Mid-Career Researcher Award Presentations    
Start atSubject View AllNum.
 
Ferroelectric Systems : Ignasi Fina
09:00
Authors : Dr.-Ing. Stefan Slesazeck
Affiliations : NaMLab gGmbH

Resume : The polarization reversal in ferroelectric HfO2 films can be adopted to store information in three distinct device classes. Depending on the material stack composition different devices can be constructed from the very same ferroelectric layer - ferroelectric capacitors (FeCAP), ferroelectric field effect transistors (FeFET) and ferroelectric tunnel junctions (FTJ) in various flavours. In this talk I will shed some light on the differences in their electrical characteristics, such as read and write conditions, data retention or cycling endurance and reliability. From this I will deduce their most suitable application cases as memory or computing devices and will discuss the specific circuit constraints that arise from the given device properties.

E.14.1
09:30
Authors : I. Margolin, A. Chouprik, E. Korostylev, V. Mikheev and D. Negrov
Affiliations : Moscow Institute of Physics and Technology, Russia

Resume : The development of the next generation of wearable electronics and medical neural implants requires the implementation of flexible active elements, potentially microcontrollers, including transistors and memory for data storage. The next step in this field includes the development of devices for data processing directly on-chip, in particular, devices for neuromorphic computing. Despite the significant progress in the software approach to neuromorphic computing based on the mathematical models of synapses and neurons, a high interest persists in the hardware realization of neural networks. An appropriate hardware approach would enable faster and more energy efficient solutions of complex tasks using artificial intelligence algorithms. One of the key elements put forward within this paradigm is the memristor - the device emulating the plasticity of biological synapses. Ferroelectric memristors based on ferroelectric tunnel junction (FTJ) are one the most attractive types of memristors. In the FTJ concept, the ferroelectric barrier is thin enough for direct electron tunneling through it between two electrodes with different screening lengths. Switching the polarization affects the asymmetric potential energy profile shape and, as a consequence, the conductance of such a memristor. Due to electronic (non-stochastic) origin of the resistive switching effect, ferroelectric memristors show the excellent cell-to-cell and cycle-to-cycle reproducibility. For the first time, flexible ferroelectric memristor was demonstrated in 2019 using the epitaxial BaTiO3 film [1]. It is well known that the integration of the classic perovskite materials in modern Si technology encounters many problems, notably thermal instability and at least requires additional interlayers. New prospects have emerged after the discovery of ferroelectric properties in hafnium oxide thin film, which are fully compatible with electronics technology [2]. In this work, we demonstrate a technology-compatible flexible ferroelectric memristor on a mica substrate based on W/aSi:H/Hf0.5Zr0.5O2 (5 nm)/TiN structure. Due to the internal temporal dynamics of conductance, it exhibits the functionality of second-order memristors, which provides the opportunity of the most natural emulation of a biological synapse [3]. The device demonstrates various synaptic functionalities, such as paired-pulse potentiation/depression and spike-rate-dependent plasticity as well as high spatial and temporal reproducibility with an average value of ROFF/RON ~ 17. The properties persist during more than 100 bending with a radius down to 2 cm. 1. Z.-D. Luo, J. J. P. Peters, A. M. Sanchez, M. Alexe. ACS Applied Materials & Interfaces 11, 23313 (2019). 2. T. S. Boscke, J. Muller, D. Brauhaus, U. Schröder, U. Böttger U. Appl. Phys. Lett. 99, 102903 (2011). 3. V. Mikheev, A. Chouprik, Y. Lebedinskii, S. Zarubin, Y. Matveyev, E. Kondratyuk, A. M. Markeev, A. Zenkevich, D. Negrov. ACS Appl. Mater. Interfaces 11, 32108 (2019).

E.14.3
09:45
Authors : Greta Segantini1,3, Benoît Manchon2, Rabei Barhoumi1, Pedro Rojo Romeo1, Ingrid Cañero Infante2, Nicolas Baboux2, Shruti Nirantar3, Matthieu Bugnet4, Simon Jeannot5, Damien Deleruyelle2, Sharath Sriram3 , Bertrand Vilquin1
Affiliations : 1 Univ Lyon, Ecole Centrale Lyon, INSA Lyon, UCBL, CPE Lyon, CNRS, INL, UMR5270, 69130 Ecully, France 2 Univ Lyon, INSA Lyon, Ecole Centrale Lyon, UCBL, CPE Lyon, CNRS, INL, UMR5270, 69130 Ecully, France 3 Functional Materials and Microsystems Research Group and Micro Nano Research Facility, RMIT University, Australia 4 Univ Lyon, CNRS, INSA Lyon, UCBL, MATEIS, UMR 5510, 69621 Villeurbanne, France 5 STMicroelectronics, 850 Rue Jean Monnet, 38920 Crolles, France

Resume : Keeping the miniaturisation pace in the modern semiconductor technology, while chasing an increased computing efficiency, has stimulated the research to focus on novel computing paradigms. One of these is neuromorphic engineering, which aims at the physical implementation of devices mimicking biological neuron and synapses. In this context, memristors based on ferroelectric material are promising candidates to implement synaptic functions. For instance ferroelectric tunnel junction (FTJ) memristors, based on HfZrO2 (HZO) have shown synaptic learning abilities [1]. In addition, HZO processes are already fully compatible with the CMOS industry, with oxide layers thinner than 10 nm. In this work we present a comparative study of different HZO-based FTJs. By interface engineering, we aimed at improving the structural and electrical performances of ultra-thin ferroelectric HZO films. The HZO was synthesized by magnetron sputtering from a Hf0.5Zr0.5O2 ceramic target and subsequently crystallized by rapid thermal annealing [2]. We compared the structural properties and the electrical performances of sub-8 nm HZO layers sandwiched between bottom and top electrodes made of titanium nitride or tungsten. Furthermore, we probed the effect on the ferroelectric properties of HZO of the insertion of an ultra-thin titanium layer at the electrode/HZO interface. The microstructure and the chemical properties of HZO were investigated by means of glancing incidence X-ray diffraction, transmission electron microscopy, and electron energy loss spectroscopy. Electrical characterization was conducted using the positive-up-negative-down-technique and by the acquisition of current vs voltage characteristics. We propose optimized stacks with enhanced ferroelectricity, which are considered for the implementation of FTJs, and for the demonstration of synaptic learning mechanisms for neuromorphic applications. References: [1] L. Chen et al. Nanoscale, vol. 10, no. 33, pp. 15826–15833, 2018. [2] J. Bouaziz, et al., ACS Applied Electronic Materials 1 (9), 1740-1745, 2019.

E.14.4
10:00 Discussion    
10:15 Coffee Break    
 
Ferroelectric Systems 2 : Erika Covi
10:45
Authors : Keerthana Shajil Nair, Marco Holzer, Catherine Dubourdieu, Veeresh Deshpande
Affiliations : 1. Helmholtz-Zentrum Berlin für Materialien und Energie, Hahn-Meitner-Platz 1, 14109 Berlin, Germany 2. Freie Universität Berlin, Physical Chemistry, Arnimallee 22, 14195 Berlin Germany

Resume : The discovery and recent developments of the hafnium dioxide-based ferroelectrics (FE) allow the incorporation of ferroelectric devices into the CMOS technology. The solid solution of Zirconium oxide and Hafnium oxide, Hf0.5Zr0.5O2 (HZO), enables the integration of FE devices in the CMOS back-end-of-line due to their high remnant polarization even with 400°C crystallization [1]. The ultra-low power consumption, scalability and non-volatile nature of the ferroelectric tunnel junction devices, makes them a potential candidate for neuromorphic computing [2]. The Metal-HZO-dielectric-Metal architecture enables devices with high tunnel electro-resistance (TER) even with a thick HZO layer of around 10 nm. The polycrystalline nature and the asymmetry of the interfaces of HZO gives rise to a relatively broader distribution of coercive voltages for the domains and plays a significant role in the multiple resistance state behaviour. In this work, we will discuss the impact of electrical programming conditions on the FTJ ON/OFF ratio and its optimization to obtain multiple resistance states. The impact of cycling waveform on the coercive voltage distribution as well as the wake-up behaviour of the FTJ devices will be presented. A direct correlation of the waveform to the ON/OFF ratio will be discussed. The stability of the attained intermediate resistance state will be explored through endurance and retention measurements. Pulse train with optimized parameters will be used to demonstrate the neuromorphic applications.[1]V. Deshpande et al., “CMOS back-end-of-line compatible ferroelectric tunnel junction devices,” Solid-State Electronics, vol. 186, 108054 (2021).; [2]B. Max et al., “Hafnia-Based Double-Layer Ferroelectric Tunnel Junctions as Artificial Synapses for Neuromorphic Computing”, ACS Applied Electronic Materials, vol. 2, 12, pp. 4023-4033 (2020).

E.15.1
11:00
Authors : Tingfeng Song, Florencio Sánchez, Ignasi Fina
Affiliations : Institut de Ciència de Materials de Barcelona (ICMAB-CSIC), Campus UAB, Bellaterra 08193, Barcelona, Spain

Resume : Ferroelectric hafnium oxide is attracting the attention of the scientific community due to its compatible with the CMOS technology. The discovery of ferroelectric hafnium oxide has opened the possibility to integrate a ferroelectric material in memory devices with high storage density and novel functionalities. To achieve this final aim, it is necessary to understand the intrinsic properties of the material. In particular, study the switching speed and the switching dynamics mechanism is of the highest relevance for applications. We will present results on the switching dynamics spectroscopy of epitaxial ferroelectric 1%La doped Hf0.5Zr0.5O2 films with different orthorhombic/monoclinic phase ratio. In particular, we observe that in films with almost pure orthorhombic phase, the characteristic switching time is long (aprox. 1us). Instead, when the monoclinic/orthorhombic phase ratio increases, the switching time shorten. Our results indicate a beneficial role of the monoclinic phase presence in terms of faster switching. In addition, ferroelectric materials allow multi-state memory performance and we will show preliminary neuromorphic-like characterization demonstrating the potential interest of the material for neuromorphic computing applications.

E.15.2
11:15
Authors : Veniero Lenzi, José P. B. Silva, Luís Marques
Affiliations : Centre of Physics of Universities of Minho and Porto, Campus de Gualtar, 4710-057 Braga, Portugal.

Resume : Ferroelectric (FE) binary oxides are of great interest for the realization of memristors, low power memory and neuromorphic computing. Zirconia (ZrO2) is the most promising one, as it shows FE properties similar to those of hafnia (HfO2), while being much more abundant, thereby appealing for large-scale uses. Recently, a rhombohedral FE phase of zirconia has been found, which is of particular interest because it does not require a wake-up cycling. However, theoretical predictions and experimental measurements of the spontaneous polarization of this phase were disagreeing and the mechanisms responsible for the stabilization of rhombohedral zirconia were not fully understood. In this work, we provide experimental evidence of the existence of oxygen vacancies in rhombohedral zirconia. By using density functional theory calculations, we show that the presence of charged defects is fundamental in stabilizing the otherwise unstable rhombohedral phase. Moreover, we demonstrate that the spontaneous polarization of rhombohedral zirconia is directly related to the presence of charged oxygen vacancies, while a spontaneous polarization induced by epitaxial stress plays a less important role compared to hafnia. These results unravel the origin of FE properties and stability of rhombohedral zirconia, and also theoretically demonstrate that by changing the concentration of oxygen vacancies, it may be possible to control the FE polarization of this material.

E.15.3
11:30
Authors : Xiao Long, Huan Tan, Florencio Sánchez, Ignasi Fina, Josep Fontcuberta
Affiliations : Institut de Ciència de Materials de Barcelona (ICMAB-CSIC), Campus UAB, Bellaterra 08193, Catalonia, Spain

Resume : Disentangling electronic and thermal contributions to the observed light-induced resistance switching in BaTiO3 ferroelectric tunnel junction With rapid development of information technology and artificial intelligence, optically controlled ferroelectric junctions are becoming promising candidates for data storage and logics. We have recently reported that the resistance state of a BaTiO3 ferroelectric tunnel barrier can be switched from ON to OFF resistance states by illumination of suitable wavelength light (blue, λ = 405 nm). It was shown that this process requires an imprint field (EIMP ≈ -2.8MV/cm) unbalancing the equilibrium distribution of polarization up/down domain configurations. Experimental data suggested the prominence of electronic process (photocarriers and polarization screening) as the ultimate reason for light-induced resistive switching.[1] However, it could not be definitely excluded the presence of thermal effects contributing to polarization switching. Here we report on a systematic measurement of light-induced polarization switching in BaTiO3 thin films. Using piezoelectric force microscopy in dark and under illumination, measurements of photocurrent and time-dependent resistance under illumination. We conclude that not only the occurrence of polarization switching is depending on wavelength, detectable when illuminating with blue laser (λ = 405 nm), but not with red laser (λ = 648 nm). We also observed that response is critically determined by the concentration of photogenerated charges modulated by varying illumination power. These observations strongly support the view that photoinduced charges suppress polarization and, in presence of imprint, allows polarization reversal. References [1] Long, Xiao, et al. "Non-volatile optical switch of resistance in photoferroelectric tunnel junctions." Nature communications 12.1 (2021): 1-9.

E.15.4
11:45
Authors : C. Ferreyra (1,2), M. Rengifo (1,2), M.J. Sánchez (1,3) , A. S. Everhardt (4) , B. Noheda (4), D. Rubi (1,2)
Affiliations : (1) Instituto de Nanociencia y Nanotecnología (INN), CONICET-CNEA, Argentina; (2) Centro Atómico Constituyentes, Av. Gral Paz 1499 (1650), San Martín, Buenos Aires, Argentina; (3) Centro Atómico Bariloche and Instituto Balseiro (Universidad Nacional de Cuyo), 8400 San Carlos de Bariloche, Río Negro, Argentina; (4) Zernike Institute for Advanced Materials, University of Groningen, 9747 AG Groningen, The Netherlands

Resume : Memristors are metal/insulator/metal devices with high potential to be implemented as a new generation of non-volatile memories and novel neuromorphic computing devices. These structures have the property of changing their resistance between different non-volatile states upon the application of external electrical stimuli, a process called resistive switching (RS). Physical mechanisms of RS are usually associated with the electromigration of defects, such as oxygen vacancies (OV), either by the creation/disruption of conductive nanofilaments or by the modulation of the height of Schottky barriers formed at metal/insulator interfaces. When the insulating oxide is ferroelectric, the resistive change at Schottky interfaces could be associated to the switching of the direction of the ferroelectric polarization- a process of electronic nature [1]-. Thus ferroelectric memristors usually present a faster and more reliable response than non-ferroelectric ones, which in general require from the electromigration of defects. In this work [2] we study the memristive response of PZT and BaTiO$_3$-based ferroelectric memristors. We find in both cases that RS is related to two competing effects acting on metal/ferroelectric Schottky interfaces: the switching of the ferroelectric polarization and OV electromigration. We propose that both effects are entangled, being the latter mainly controlled by the depolarizing field arising from an incomplete screening of ferroelectric bounded charges. We simulate the experimental response with a modified version of the VEOV model [3] that accounts for the presence of ferroelectricity and satisfactorily reproduces several non-trivial aspects of the experimental response. Finally, we show that these systems display coexisting non-volatile and volatile memristive response, an issue that could be useful for the development of neuromorphic devices. [1] P. W. M. Blom, R. M. Wolf, J. F. M. Cillessen, and M. P. C. M. Krijn, Phys. Rev. Lett. 73, 2107 (1994) [2] C. Ferreyra, M. Rengifo, M.J. Sánchez, A. S. Everhardt, B. Noheda, and D. Rubi, Phys. Rev. Appl. 14, 044045 (2020) [3] M. J. Rozenberg, M. J. Sánchez, R. Weht, C. Acha, F. Gomez-Marlasca, and P. Levy, Phys. Rev. B 81, 115101 (2010).

E.15.5
12:00 Discussion    
12:15 Lunch Break    
13:45 Plenary Talk    
15:00 Coffee Break    
 
Mott Transitions and Volatile Switching Devices : Ignasi Fina
15:15
Authors : Javier del Valle1, Adrien Bercher1, Nicolas M. Vargas2, Rodolfo Rocco3, Pavel Salev2, Claribel Dominguez Ordonez1, Jennifer G. Fowlie1, Pavel Lapa2, Yoav Kalcheim4, Coline Adda2, Minhan Lee2, Stefano Gariglio1, Alexey Kuzmenko1, Marcelo Rozenberg3, Ivan Schuller2, Jean-Marc Triscone1
Affiliations : 1University of Geneva 2University of California, San Diego 3Université Paris-Saclay 4Technion-Israel Institute of Technology

Resume : Certain correlated oxides feature an insulator-to-metal transition which can be triggered by applying an external voltage: the material becomes conducting if a threshold electric field is exceeded [1]. This phenomenon is known as voltage-driven IMT, and it has very promising applications in emerging technologies such as probabilistic [2] and neuromorphic computing. While it is known that this process takes place in a filamentary way, it is not yet known what sets the characteristic lengthscales, or the dynamic path through which these filaments nucleate, grow and relax. We combine reflectivity and transport measurements to image metallization with spatial and temporal resolution [3]. Five systems featuring an IMT from two different families are analysed: VO2, V2O3, V3O5, NdNiO3 and SmNiO3 [4]. By comparing these systems and with further insight from numerical simulations, optical microscopy and high resolution SNOM, we identify the key parameters that govern the dynamics of the voltage-driven IMT, presenting a unified and simple interpretation of the transition dynamics. References: [1] J. del Valle et al. Nature 569, 388 (2019). [2] J. del Valle et al. Nano Letters accepted (2022). [2] J. del Valle et al. Science 373, 907 (2021). [3] J. del Valle et al. Phys. Rev. B 104, 165141 (2021).

E.16.1
15:45
Authors : Stefania Carapezzi, Aida Todri-Sanial
Affiliations : Microelectronics Department, LIRMM, University of Montpellier, CNRS, Montpellier, France

Resume : Cyber-physical integration is essential for efficient deployment of resources in many vital aspects of our life, such as healthcare or work environment. This entails a huge digitization of physical information, and a consequent explosion of the amount of data to be analyzed. Such trend prompts for the computing capabilities to match with these computing demands. For some time, this has been achieved through transistors miniaturization. Recently, the natural limits to device downscaling has necessitated to change the strategy, by combining different device technologies and/or novel materials. However, efficiency of traditional computing machines is also affected by their Von Neumann architecture, which gives rise to a “traffic jam” of data during processing. Thus, novel computing schemes are required. In this respect, neuro-inspired computing approaches are promising, given the unparalleled faculty of the brain to work with low-energy budget. Experimental observations of oscillatory behaviors, from the rhythmic firing of a single neuron up to oscillations in large neural ensembles, have suggested to propose systems of coupled electronic oscillators, or oscillatory neural networks (ONNs), as neuromorphic computing systems. ONNs based on oscillators realized with vanadium dioxide (VO2) have recently attracted interest, because the resistive switching controlled by self-heating in VO2 two-terminal devices allows to realize compact and scalable oscillators compared to the CMOS-based oscillators. In this contribution, we will use a dedicated technology computer-aided design (TCAD) approach to perform 3D electrothermal simulations of VO2 oscillators. This will allow to link material properties to the self-oscillatory behavior of VO2 devices. Finally, we will upscale our simulations to systems of coupled oscillators, using the mixed-mode procedure to integrate device behavior into circuit simulations. This will permit to investigate with unprecedented accuracy the physical parameters affecting the collective behavior of interacting VO2 oscillators. It is important to stress that synchronization of oscillatory signals is a fundamental mechanism of brain, which has to be harnessed in order to enable ONNs to replicate neural activities. Our findings shed light on the interrelated thermal and electrical behavior of VO2 oscillators and provide essential guidelines for the implementation of ONN technology. Acknowledgments. Authors wish to thank Dr. Siegfried Karg, of Dep. of Science and Technology, IBM Research Europe, Zurich, Switzerland, for providing the experimental data used for the calibration of the TCAD model and the useful discussions about the experimental devices. Authors also wish to thank Dr. Ahmed Nejim and Dr. Andrew Plews, of Silvaco Europe Ltd., Cambridgeshire, United Kingdom, for providing the customized version of the model used to simulate the VO2 material as well as for the useful discussions about the TCAD and mixed mode simulations.

E.16.2
16:00
Authors : Rodolfo Rocco, Javier del Valle, Henry Navarro, Pavel Salev, Ivan K. Schuller, Marcelo Rozenberg
Affiliations : Université Paris-Saclay, University of Geneva University of California-San Diego, University of California-San Diego, University of California-San Diego, University of California-San Diego, Université Paris-Saclay

Resume : Application of a strong electric field to a Mott material like vanadium dioxide results in an insulator-to-metal transition (IMT) characterized by a sharp drop of the resistance and the formation of metallic filaments longitudinal to the electric field [1]. The ensuing surge of the current that flows through the sample can be exploited to implement a spiking behaviour akin to that of biological neurons. However, close to the electric field threshold the delay time for the filament formation becomes increasingly unpredictable, and a proper physical understanding of the phenomenon was still missing. In this presentation we describe our recent work on this problem. By means of numerical simulations based on the Mott Resistor Network (MRN) model we show that the filament formation is inherently stochastic and analogous to an activated behavior [2]. More precisely, we show that it is a Poisson point process with a probability distribution identical to the exponential escape rate of the firing probability of realistic biological neuronal models. We validate our theoretical model findings with experimental measurements of resistive switching in VO2. Our work clarifies the physics of the filamentary incubation in Mott materials and unveils a new remarkable neuromorphic property of VO2 Mott neurons, namely the exponential escape rate spiking. [1] del Valle et al., Spatiotemporal characterization of the field-induced insulator-to-metal transition, Science (2021) [2] R. Rocco et al., Exponential escape rate of filamentary incubation in Mott spiking neurons, Phys Rev App (in press)

E.16.3
16:15 Discussion    
16:30 Coffee Break    
 
Mott Transitions and Volatile Switching Devices 2 : Veeresh Deshpande
16:45
Authors : Laborie, L.*(1), Gergaud, P.(1), Tranchant, J.(2), Corraze, B.(2), Zucchi, X.(1), Hida, R.(1), Castellani, N.(1), Nolot, E.(1), Lefevre, G.(3), Carabasse, C.(1), Magis, T.(1), Bourgeois, G.(1), Besland, M.-P.(2), Janod, E.(2), David, S.(3), Andrieu, F.(1), Cario, L.(2), & Jalaguier, E.(1).
Affiliations : (1) Univ. Grenoble Alpes, CEA, Leti, F-38000 Grenoble, France;(2) Université de Nantes, CNRS, Institut des Matériaux Jean Rouxel, IMN, F-44000 Nantes, France;(3) Univ. Grenoble Alpes, CNRS, CEA-LETI Minatec, LTM, 38054 Grenoble, France

Resume : Because of their Insulator-Metal Transitions (IMT), Vanadium Oxides stand as promising candidates for volatile and non-volatile memory applications [1]. In the Paramagnetic Mott Insulating (PMI) phase of V2O3, electrical field driven IMT can be non-volatile and reversible [2]. This PMI phase can be achieved by substituting vanadium with chromium, or by applying tensile stress to the compound [3,4]. In this study, V2O3 thin films are grown using the ion beam sputtering of a vanadium target in a controlled O2 atmosphere at 20 °C. It results in amorphous films. Si/SiO2 wafers serve as substrates, and a 10 nm SiN capping layer protects the material from further oxidation. In situ X-ray diffraction (XRD) measurements during annealing in a N2 atmosphere have been performed up to 650 °C. The material crystallizes from 280 °C onwards, well below temperatures required for any other sputtering deposition technique [5]. XRD diagrams show that the only crystalline phase occurring in the film is V2O3 with a strong (00l) out of plane fiber texture. The resistance jump at low temperature towards antiferromagnetic phase confirms the unique and stoichiometric crystalline structure. Stress analysis performed through combined in plane and out of plane in situ XRD shows that the film crystallizes under high tensile stress, in agreement with the volume shrinkage occurring during the amorphous to crystalline phase transition; it also shows that, upon annealing, the silicon substrate governs V2O3 layer thermal expansion along the in-plane direction. Thus, when cooled down to room temperature, the material should experience a high tensile stress, which should depend on the annealing temperature. In V2O3, large tensile strains along the a axis drive the compound into its insulating phase. Raman spectra of samples annealed at different temperatures show, as expected, a gradual transition toward the PMI phase. These first results thus show that thermal strain engineering can be used to drive the metallic V2O3 compound in its Mott insulating phase. It may be a memory manufacturing-compatible alternative to chromium substitution [2] or epitaxial strain [4]. We also present electrical results on this material, showing its capability for memory applications. As a first proof of concept, 20 nm thick films have been integrated in TiN/V2O3/TiN memory cells, using a 200 mm Back-End-of-Line CMOS-compatible process. Device areas are below 0.01 µm², and show uniform properties over the entire wafer surface. They present a steady non-volatile memory behavior up to 1000 cycles. These results on integrated thin films confirm the strong applicative potential of such strained V2O3 material. [1] You Zhou et al., Proc. IEEE, vol. 103, no. 8, Aug. 2015 [2] E. Janod et al., Adv. Funct. Mater., vol. 25, no. 40, Oct. 2015 [3] D. B. McWhan, et al., Phys. Rev. B, vol. 7, Mar. 1973 [4] P. Homm, et al., APL Materials, vol. 9, no. 2, Feb. 2021 [5] J. A. J. Rupp et al., Thin Solid Films, vol. 705, Jul. 2020

E.17.1
17:00
Authors : J. Tranchant*, E. Janod, B. Corraze, M.-P. Besland, L. Cario *lead presenter
Affiliations : Institut des Matériaux de Nantes Jean Rouxel (IMN), Université de Nantes, CNRS, 2 rue de la Houssinière, F-44322 Nantes Cedex 3, France

Resume : The application of short electrical pulses on narrow gap Mott insulators induces a new phenomenon of resistive switching. This insulator-to-metal transition is volatile above threshold electric fields of a few kV/cm and stabilizes into a reversible non-volatile resistive switching for higher electric fields. Our works have demonstrated that this phenomenon is driven by the electric field, which triggers an electronic avalanche through creation of hot carriers [1]. It provokes the collapse of the Mott insulating state at the nanoscale and generates the formation of granular conductive filaments [2]. These properties are universal to all canonical Mott insulators, including oxides such as (V1-xCrx)2O3, or chalcogenides such as NiS2-xSex or the AM4Q8 family of compounds (A=Ga, Ge; M=V, Nb, Ta, Mo; Q=S, Se)). This phenomenology has been retrieved either on single crystals or thin films. Both volatile and non-volatile transitions can occur at room temperature in the (V1-xCrx)2O3 system which makes it suitable for applications. Our works have shown that the non-volatile transition can be used for single and multi-levels memory applications. Indeed, our TiN/(V1-xCrx)2O3/TiN devices deposited by reactive magnetron co-sputtering demonstrate very competitive memory performances [3]. Besides, we have stabilized intermediate resistance levels between high and low resistance states of these Mott memories, which opens the way to application as artificial synapses. On the other hand, we have used the volatile transition to implement the basic functionalities of Leaky-Integrate-and-Fire (LIF) artificial neurons in the form of single components [4]. Our very last results indicate that light is an additional control parameter for the volatile transition, and that electro-optic artificial neurons can be built out of Mott insulators [5]. These studies thus enable to envision artificial neural networks based on Mott insulators for both artificial neurons and synapses as single components. Finally, these works definitely set the basis of a new type of electronics based on the Electric Mott transition: the Mottronics. [1] P. Diener et al. Phys. Rev. Lett. 121, 016601 (2018) [2] E. Janod et al. Adv. Funct. Mater. 25, 6287 (2015) [3] J. Tranchant et al., IEEE proc. Int. Memory Workshop, 74 (2018) [4] P. Stoliar et al. Adv. Funct. Mater. 2017 [5] D. Babich et al., Phys. Rev. Appl., accepted paper (2022)

E.17.2
17:15
Authors : E. Qiu1,2,*, P. Salev2, L. Fratino3, R. Rocco3, H. Navarro2, C. Adda2, J. Li4, M-H. Lee4, Y. Kalcheim5, M. Rozenberg3, and Ivan K. Schuller2
Affiliations : 1 Department of Electrical and Computer Engineering, University of California, San Diego, 92093, USA. 2 Department of Physics, Center for Advanced Nanoscience, University of California, San Diego, 92093, USA. 3 Laboratoire de Physique des Solides, CNRS, Université Paris Saclay, 91405 Orsay Cedex, France. 4 Materials Science and Engineering Program, University of California, San Diego, 92093, USA. 5 Faculty of Materials Science and Engineering, Technion - Israel Institute of Technology, 32000 Haifa, Israel.

Resume : Synchronization of electrical oscillators is a crucial step toward practical implementation of oscillator-based computing systems. Here we report highly unusual synchronization in coupled spiking Mott oscillators. While a moderate capacitive coupling results in a deterministic alternating spiking sequence, increasing the coupling strength, counterintuitively, leads to stochastic disruptions of the spiking sequence. These disruptions produce a multimodal inter-spike interval distribution, similar to those in biological neurons. The disruptions of the deterministic spiking sequence are direct consequence of the intrinsic stochasticity of electrical triggering of the insulator-metal transition. On the individual nanodevice level, the stochasticity is small but it can have a dramatic impact on the synchronization properties becoming the dominant feature that defines the operation of coupled spiking oscillators. Our results advance the understanding of the synchronization of spiking Mott oscillators and provide a novel platform for hardware-level implementation of biologically plausible electronic devices.

E.17.3
17:30 Discussion    
17:45 Closing remarks    

No abstract for this day


Symposium organizers
Adnan MEHONICUniversity College London

Department of Electronic & Electrical Engineering, UCL, Torrington Place, London, WC1E 7JE, UK

+44 (0)20 3108 1116
uceeadm@ucl.ac.uk
Erika COVINaMLab gGmbH

Nöthnitzer Straße 64a, 01187 Dresden, Germany

+49 351 2124990 31
Erika.Covi@namlab.com
Giuliana DI MARTINOUniversity of Cambridge

Department of Materials Science & Metallurgy (Room 3.013) - 27 Charles Babbage Road - Cambridge CB3 0FS - UK

gd392@cam.ac.uk
Ignasi FINAInstitut de Ciència de Materials de Barcelona (ICMAB-CSIC)

Campus UAB, E-08193 Bellaterra, Catalonia, Spain

+34 935 801 853 (ext. 369)
ifina@icmab.es
Veeresh DESHPANDEHelmholtz-Zentrum Berlin fur Materialien und Energie

Hahn-Meitner-Platz 1, Berlin, Germany

+49 30 8620 42491
veeresh.deshpande@helmholtz-berlin.de