preview all symposia

2022 Spring Meeting

Satellite events

V

New trends in advanced lithography and pattern transfer methods

The continuous evolution of techniques and methods for manufacturing and structuring surfaces at the nano-scale have allowed to improve the performance of devices, circuits and systems in aspects such as processing speed or energy consumption. In the future, the evolution of important areas such as semiconductor integrated circuits, quantum computing, biomedicine, energy or mobility will depend on the ability to manufacture devices more efficiently and with a larger degree of accuracy.  There is still a lot of room for further evolution and improvements in nanofabrication methods, that will bring to exploit the ultimate performance of many different types of devices, even down to the atomic scale.

The scope of the Symposium will be to present and discuss about the latest advances in the field of ultra-high resolution lithography and methods of pattern transfer which are presently under different stages of development and that they are going to play a key role in near future technologies. The symposium will cover innovative aspects in consolidated technologies, like advanced optical lithography and ion beam fabrication, along with the progress in emerging methods like self-assembly or scanning probe lithography.  Subtractive and additive patterning techniques, including dry etching, deposition, single ion implantation, and others, will be discussed.

The symposium is addressed to scientist and engineers interested in structuring at the nanoscale. The program will consist of a mixture of invited and contributed oral presentations and posters, with a broad coverage of the main trends in the field. The symposium is organized by the European Project NFFA-Europe-Pilot (nfffa.eu), which involves twenty-two partners from nine Member States of the European Union and aims to increasing European competitiveness in nanosciences and nanotechnologies.

 

Preliminary schedule of the Symposium:

Day 1. Monday, May 30

  1. Afternoon session
  • 13:00 Welcome
  • 13:10 Oral session (3 invited speakers 25 min + 3 regular talks 15 min)
  • 15:10 Coffee break
  • 15:40 Poster session
  • 17:00 End of the poster session

Day 2. Tuesday, May 31

  1. Morning session
  • 09:00 Oral session (3 invited speakers 25 min + 1 regular talk 15 min)
  • 10:30 Coffee break
  • 11:00 Oral session (2 invited speakers 25 min + 3 regular talks 15 min)
  • 12:35 Lunch and networking

 

Invited speakers:

  • Flavio Carsughi, FZJ, Germany - Presentation of the NFFA-Pilot project
  • Yasin Ekinci, PSI, Switzerland – Advanced Nanofabrication
  • Damiano Giubertoni, Fondazione Bruno Kessler, Italy – Electron and Ion Beam Patterning
  • Gregor Hlawacek, HZDR, Germany – He ion beam microscopy
  • Armin Knoll, IBM, Switzerland – Thermal Scanning Probe Lithography
  • Alex Robinson, U. Birmingham, UK – Novel resists
  • Raluca Tiron, CEA-LETI, France – Self-assembly lithography

Documentation

program_sympo_v.pdf

221.08 KbDownload
Start atSubject View AllNum.
13:15 Welcome and Introduction to the Symposium    
 
Presentation Session 1 : Yasin Ekinci, Ivan Maximov
13:30
Authors : Flavio Carsughi
Affiliations : Forschungszentrum Jülich, Germany

Resume : NFFA-Europe is an open-access resource for experimental & theoretical nanoscience to perform multidisciplinary research at the nano and the microscale. NFFA-Europe offers a seamless series of harmonized, interoperable and integrated science services enabling research with an impact on science and innovation. It integrates into one comprehensive research infrastructure distributed throughout Europe, advanced cutting-edge facilities specialized on growth, lithography, characterization, theory, simulation and fine-analysis with Synchrotron, FEL and Neutron sources. The pan-European NFFA-Europe consortium is composed of 22 partners with a core of 13 co-located nanofoundries and LSFs (Synchrotron, FEL and Neutron). More than 600 state-of-the-art instruments are currently available free of charge to European and international researchers, thanks to the Horizon 2020 NFFA-Europe PILOT project. NFFA-Europe PILOT aims to further consolidate the sustainability of the research infrastructure through the optimization of good practices and the training of a new generation of researchers getting used to formulate their science objectives by taking full advantage of all that NFFA-Europe has to offer. Moreover NFFA-Europe PILOT plans to upgrade and develop new innovative tools for nanoscience such as real-time observation and control in microscopy and spectroscopy, X-Ray Wavefront Metrology and pattern transfer methods. A safe-by-design platform for nanomaterials and a FAIR approach to data are further features of the new NFFA-Europe PILOT project. Users can request access to this comprehensive research offer by submitting their proposals through the www.nffa.eu single entry point portal. Proposals are evaluated by an international peer-reviewed panel, and approved projects are granted free access to the best suited instruments, competences and technical support. Furthermore, a financial contribution for travel, accommodation and subsistence costs is available to the applicant team.

V.1.1
13:45
Authors : A. Knoll 1 , J. Chaaban 2 , N. Hendricks 2 , E. Cagin 2 , P. Nicollier 1 , H. Wolf 1 , D. Widmer 1 , U. Drechsler 1
Affiliations : 1) IBM Research Europe – Zurich, Säumerstrasse 4, 8803 Rüschlikon, Switzerland 2) Heidelberg Instruments Nano AG, Bändliweg 30, 8048 Zürich, Switzerland

Resume : Thermal Scanning Probe Lithography (t-SPL) has become a commercially available tool for the fabrication of nanoscale devices. The growing maturity of the technology is reflected in the increasing number of publications originating from users around the globe. In particular, the unique technology aspects such as nanometer accurate grayscale lithography and low damage patterning of high-resolution structures has fueled this success. Precise grayscale patterning was e.g. exploited to create nanofluidic Brownian motors [1], which can separate nanoparticle populations within seconds and with unprecedented resolution. Another example is the first demonstration of optical Fourier series [2], which enables much better controlled coupling of light with substrates. Low-damage high resolution patterning was used to fabricate contacts to 2D materials with substantially lower contact resistance compared to electron-beam fabricated devices [3]. Finally, the fabrication of gates on InAs nanowires by t-SPL resulted in textbook transistor characteristics [4]. These successes are based on high-resolution patterns of a simple device. The next important step of the technology is to demonstrate reliable batch fabrication of complex devices on chip to wafer scale. The imaging capability of the tool facilitates this task because it enables nanometer precise localization of existing structures on the substrate. Moreover, it provides the means to autonomously correct drifts in patterning parameters and laser writing position. Thus, we have a tool in hand that can fully autonomously process complex patterning and overlay steps for device fabrication. We showcase the potential of the tool by fabricating arrays of field effect transistors on SOI substrates. All the lithographical steps are done by the tSPL tool, assisted by the integrated laser writer [5]. The field effect transistors have a channel width down to 20 nm and a height of 50 nm. Gates with similar dimensions are patterned using a markerless overlay approach. The tool predicts the topography after spin-coating, enabling cross-correlation with the measured features for overlay alignment. Moreover, it predicts the local polymer thickness, important to always operate at optimal writing conditions. This work is a first step for t-SPL to develop towards a high precision, fully automated lithography tool. Considering the relative ease of implementing parallel concepts with cantilevers, we see an exciting future for the technology. References: [1] M. J. Skaug et al. Science. 359, 6383, 1505–1508 (2018) [2] N. Lassaline et al. Nature 582, 7813, 506–510 (2020) [3] X. Zheng et al. Nature Electronics 2, 17-25 (2019) [4] H. Wolf et al. 2019 Pan Pacific Microelectronics Symposium, 1–9 (2019).

V.1.2
14:15
Authors : J Llobet, D Bricio, A Kapas, A Guerrero, J Sanchez, A Garcia, M Duch, X Borrisé, J Bausells, F Pérez-Murano
Affiliations : Institute of Microelectronics of Barcelona (IMB-CNM CSIC), Bellaterra, 08193, Catalonia, Spain Catalan Institute of Nanoscience and Nanotechnology (ICN2), CSIC and BIST, Bellaterra, 08193, Catalonia, Spain

Resume : Silicon Nanowires (SiNWs) are basic building blocks for the development of semiconductor spin qubits based on quantum dots. We are developing a platform to test nanofabrication processes for the fabrication of better devices. To build the platform we are following two different mix-and-match approaches: i) optical and electron beam lithography (EBL); ii) EBL and focused ion beam (FIB) implantation. The first mix-and-match approach consist of 5 lithographic steps combining optical lithography and EBL (with the same negative resist) to define the pads, lines and the NWs. A whole wafer is patterned with more than 5000 individually connected NWs. The second approach is based in a three-step fabrication scheme that we developed in the past [1,2]. Starting with a silicon on insulator substrate, we pattern our devices by FIB implantation of gallium, without the need for any resist nor a mask. The second step is a silicon-wet etching in diluted TMAH. Here, the gallium implanted silicon volume sustains the etching process and the not-implanted regions are removed. It is feasible to get suspended and not suspended devices just by controlling the relative angle of the structure with respect to the silicon planes, defining compensation strips or by choosing the thickness of the device silicon layer in comparison with the implantation volume [1,2]. Finally, by the incorporation of an annealing step, it is demonstrated the recovery of the electrical conduction up to four orders of magnitude, the out-diffusion of gallium and the promotion of nanocrystals (sub-10nm) embedded inside the silicon nanostructures [3,4]. [1] J. Llobet et al. J. Micro/Nanolith. MEMS MOEMS vol. 14 031207 (2015). [2] J. Llobet et al. Nanotechnology 25, 135302 (2014). [3] J. Llobet et al. Applied Physics Letters 107, 073104 (2015). [4] J. Llobet et al. Nanotechnology 29, 155303 (2018).

V.1.3
14:30
Authors : Aleksandr Perevedentsev, Mariano Campoy-Quiles
Affiliations : Institute of Materials Science of Barcelona (ICMAB-CSIC), 08193 Bellaterra, Spain

Resume : Miniaturization of silicon devices that underpinned the electronics revolution was largely enabled by developments in photolithographic techniques. The field of molecular electronics requires a similar versatile means of micro- and nano-structuring that is compatible with high-throughput solution-based fabrication schemes. For instance, local doping is vital for the fabrication of organic field-effect transistors and thermoelectric generators.[1] The patterning of (macro-)molecular orientation unlocks dramatic device performance enhancements and enables unique functionalities in the fields of security and photodetection.[2] Microstructure and conformation are yet another parameter space harnessed for the fabrication of integrated photonic components.[3] The state-of-the-art methods, however, exhibit major drawbacks, e.g. the compromise between resolution and throughput, restrictive vacuum requirements or limited generality.[4] We present a versatile concept, wherein a solution-deposited semipermeable ?molecular gate? interlayer is used to separate a molecular semiconductor film and a functional additives overlayer.[5] Additive diffusion is then locally stimulated by laser light, heat or solvent vapor, while the molecular gate modulates it with a ?molecule-on-demand? precision. Via selection of functional additives, we demonstrate patterning of electrical doping, chain orientation, and material composition in benchmark semiconducting polymers with near-photolithographic resolution and mm/s speeds. In particular, we report laser-based fabrication of 10-?m-wide patterns of p-doping in PBTTT with local conductivities up to 10 S/cm, and describe a general approach based on spectroscopic Raman mapping for quantifying the degree of doping. Furthermore, we advance the novel use of solid co-solvents as processing aids for enhancing throughput. Exemplary patterning of directional chain orientation is demonstrated for P3HT with < 5 ?m resolution to enable locally anisotropic optoelectronic properties. Finally, we illustrate the unique capability of the method for one-step patterning of multiple functionalities by spatially modulating sensitizer composition in ternary blends, enabling continuous broadband photoluminescence tuning from 420 to 620 nm. Emphasis is placed on broad adaptability of molecular-gate-based patterning to conventional materials processing methods such as spin-, spray- and slot-die coating, to enable micro-patterning of ?everything? in ?everything? with ?anything?. Given the generality of the underlying principles and a plurality of suitable additives, this method bridges the gap between high-resolution laboratory-scale processing and high-throughput industrial fabrication. [1] Xu et al., Adv. Mater. 2018, 30, 1801830; [2] Khim et al., Adv. Mater. 2018, 30, 1705463; [3] Perevedentsev et al., Nat. Commun. 2015, 6, 5977; [4] Kahn, Proc. IEEE 2015, 103, 497; [5] Perevedentsev et al., Nat. Commun. 2020, 11, 3610.

V.1.4
14:45 Q&A session    
15:00
Authors : Gregor Hlawacek
Affiliations : Institute for Ion Beam Physics and Materials Research Helmholtz—Zentrum Dresden—Rossendorf 01328 Dresden, Germany

Resume : Gas Field Ion Source (GFIS) based Helium Ion Microscopy (HIM) is providing best resolution Nobel gas focused ion beam (FIB) based imaging and nanofabrication capabilities [1,2]. Liquid Metal Alloy Ion Source (LMAIS) based FIBs, on the other hand enable the nanoscale modification of the morphology and the elemental composition of materials [3]. I will address in particular low on fluence modification of materials properties with only negligible material removal. Examples in the part will include the modification magnetic, superconducting, electrical and optical properties in metals, semiconductors and 2D materials. I will show how the HIM can be used to create arbitrary shaped nano-magnets [4] and tailor their magnetic properties, with minimal morphological modifications. We use a set of in-situ probes to follow the change of the magnetic properties during irradiation to allow optimized fluence delivery [5]. Similarly, we can use the probes to characterize transistors build from 2D materials and follow the change of their electrical properties during ion beam irradiation. However, also beams of other elements are useful for crating new functionality on the nanometer scale. I will present very recent results on the application of Dy and Co LMAIS FIB to tune the spin transport properties of magnetic materials. Part of this work has been performed in the framework of COST Action FIT4NANO (CA19140) and the BMBF projects ZF4330905AB9 and ZF4330902DF7. References [1] Hlawacek, G., Veligura, V., van Gastel, R. & Poelsema, B. Helium ion microscopy. Journal of Vacuum Science and Technology B 32, 020801 (2014). [2] Hlawacek, G. & Gölzhäuser, A. (eds.) Helium Ion Microscopy. NanoScience and Technology (Springer International Publishing, Switzerland, 2016). [3] Bischoff, L., Mazarov, P., Bruchhaus, L. & Gierak, J. Liquid metal alloy ion sources—An alternative for focussed ion beam technology. Appl. Phys. Rev. 3, 021101 (2016). [4] Nord, M. et al. Strain anisotropy and magnetic domains in embedded nanomagnets. Small 1904738 (2019). [5] Dunne, P. et al. Helium ion microscopy for reduced spin orbit torque switching currents. Nano Letters 20, 7036–7042 (2020). 2005.07626.

V.1.5
15:30
Authors : D. Giubertoni (a), A. Cian (a), E. Scattolo (a), R. Dell’Anna (a), G. Paternoster (a), J. Rodríguez-Álvarez (b,c), A. Guerrero (d), X. Borrisé (e), F. Perez-Murano (d)
Affiliations : (a) Sensors and Devices Center, Bruno Kessler Foundation, Trento, I-38123, Italy; (b) Departament de Física de la Matèria Condensada, Universitat de Barcelona, 08028 Barcelona, Spain; (c) Institut de Nanociència i Nanotecnologia (IN2UB), 08028 Barcelona, Spain; (d) Instituto de Microelectrónica de Barcelona (IMB-CNM, CSIC), Bellaterra (Barcelona), 08193, Spain; (e) Institut Català de Nanociència i Nanotecnologia, Bellaterra (Barcelona), 08193, Spain

Resume : Plasmonic devices based on noble metal nanostructures have been extensively investigated in the last 20 years and nowadays their applications cover a wide spectrum of sectors. They are typically based on regular arrays of metal structures with sizes ranging from few to several hundreds of nm’s, sub-µm periodicity, precise shape and positioning. Their fabrication requires extremely high-resolution patterning techniques like UV and deep-UV optical lithography, electron beam lithography (EBL) and focused ion beam (FIB) patterning, among others. In particular, FIB patterning can be an excellent prototype fabrication solution, offering the advantages of maskless-direct writing, flexibility in design, and functionalization by ion beam-solid interactions. Gallium based liquid metal ion sources (LMIS) have been for several decades the main choice for focused ion beam instruments (FIB). More recently, FIB columns equipped with liquid metal alloy ion sources (LMAIS) were implemented for nanopatterning. LMAIS working principle is essentially the same as the one for Ga-LMIS, exploiting the Taylor cone formed by applying an electric field to a tip wetted by a liquid alloy melted at low temperature. For this reason, eutectic alloys are usually exploited, e.g. the gold based ones Au-Si, Au-Ge or Au-Ge-Si. The latter can also offer more flexibility on ion choice, allowing selecting adequate species and charge depending on the needs of lateral resolution, sputtering yield and possible surface functionalization. In this work, two examples of LMAIS FIB patterning for plasmonic applications will be reported. In the first, regular arrays of silver nanostructures were milled by Au through 110 nm thick Ag films, deposited on silicon photodiodes. Aim of the experiment was the exploitation of the surface plasmon polaritrons induced by the Ag gratings to excite highly-confined modes by irradiation, enhancing in this way the absorption of near-infrared radiation photons close to the active depth of the photodiodes. A FIB process was defined after calibrating the milling rate by atomic force microscopy and characterizing the contamination depth of the Au ions in the active area of photodiodes by secondary ion mass spectrometry. Electro-optical characterization proved the success of nanofabrication. In the second example, high-resolution patterning was carried out in order to produce regular (566 nm period) inverted honeycomb arrays through 20 nm thick Au films that work as efficient refractive index sensors. Focused beams of Si , Ge , Au and Au were tested in order to identify the best fabrication process, in particular to find the best combination of current and ion dose for species to mill lines with the required depth and lateral width.

V.1.6
16:00
Authors : Sanli, U. T.(1)*,‡, Kubec, A.†(1,2), Qi, P.(1), Seiboth, F.(3), Vila-Comamala, J.(1) & David, C.(1)
Affiliations : (1) Paul Scherrer Institute, Forschungsstrasse 111, 5232 Villigen PSI, Switzerland (2) XRnanotech GmbH, Forschungsstrasse 111, 5232 Villigen PSI, Switzerland (3) CXNS - Center for X-ray and Nano Science, Deutsches Elektronen-Synchrotron DESY, Notkestraße 85, 22607 Hamburg, Germany * Lead presenter. ‡ Project received funding from European Union’s Horizon 2020 research and innovation pro-gramme under the Marie Skłodowska-Curie grant agreement No 884104 (PSI-FELLOW-III-3i) † Industry partner: https://www.xrnanotech.com/

Resume : Two-photon polymerization (2PP) based 3D printing has emerged as a versatile nanofabrication tool achieving feature resolutions down to about 100 nm over large areas. This method has been successfully used for the fabrication of various technological advancements including but not limited to metamaterials, plasmonic structures, microfluidics, biomedical implants and micronee-dles, MEMS and nanorobotics. Being a bottom-up fabrication method, 3D printing also allows the realization of X-ray optical elements that cannot be easily produced by other lithography and nanofabrication strategies. Moreover, the 3D printing method is a two-step process only involv-ing an exposure and a developing step, thus, improving the yield significantly compared to other lithography techniques, e.g., e-beam lithography. In addition, the commercial photoresists have proven to be suitable materials for X-ray optics due to their low absorption. Here, we present the fabrication of several X-ray optical elements by 2PP 3D printing. Diffractive and refractive pol-ymer structures can be easily patterned on various substrates such as silicon nitride or diamond membranes. Patterns with sizes up to several millimeters in size can be printed with resolution down to the sub-micrometer range. Using 2PP based 3D printing, many types of X-ray optics have been developed in recent years such as compound refractive lenses, Kinoform type zone plates or phase plates for aberration correction. In addition, a 2PP based 3D printing defocusing refractive lens stack was combined with a Fresnel zone plate to realize the first achromatic X-ray lens. The fabricated X-ray optical elements are now used for many X-ray applications.

V.1.7
16:15
Authors : B. Marmiroli (1), A. Turchet (2), B. Sartori (1), A. Bharti (2), H. Amenitsch (1)
Affiliations : (1) Institute of Inorganic Chemistry, Graz University of Technology, Graz, Austria; (2) Elettra-Sincrotrone Trieste, Trieste, Italy

Resume : The combination of top-down processing through micro/nano fabrication techniques with bottom-up approaches to prepare novel functional materials has been pioneered over the last few years. In fact, it has great potential to achieve next generation of lab on chips, of chemically or biologically modified structured ordered platforms for tissue engineering, of organ-on chips, to produce biosensors for high-throughput screening, or for energy harvesting or catalysis systems. Bottom-up methods rely on chemical reactions and molecular self-assembly, and the material is organized through molecular interactions and chemical equilibria during wet-deposition processes. As top down process we selected Deep X-ray lithography (DXRL). DXRL is based on the controlled irradiation of materials using high energy X-rays, and it is an advantageous lithographic technique with respect to resolution, penetration depth, high aspect ratio patterns, vertical sidewalls, and surfaces of optical quality. It has traditionally been exploited to fabricate components in the field of microfluidics, micro optics, micromechanics and microelectronics. More recently, DXRL has been employed in the irradiation of novel materials and in the study of the related structural and functional changes. In fact, exposure to a radiation source can lead to modifications of the materials properties, enabling the patterning of functional materials. Moreover, irradiation can promote the in-loco synthesis of novel materials. In this communication we will present the latest research on controlled X-ray irradiation of functional materials at the DXRL beamline of Elettra Synchrotron. We will first describe our recent activity on X-ray exposure of mesoporous materials, obtaining micropatterns of mesopores. After the investigation of their mechanical properties [1] we are moving forward to a practical application: their use as active sample holders able to deliver fluids through the pores [2]. We will then present the irradiation of Metal Organic Frameworks (MOFs). While low X-ray irradiation dose does not affect the structure of ZIF (Zeolitic Imidazolate Framework) based MOFs, DXRL was used to directly pattern ZIFs [3], opening the possibility to fabricate microdevices and sensors composed of tailored MOFs. Finally, we will report on direct patterning of noble metal xerogels that can be subsequently converted into the corresponding metals by annealing [4]. This is of high interest for the community working on catalysis and electrocatalysis. The research presented in this communication has been supported both by Joint Research Activity and by Trans National Access to DXRL facility of NFFA-Europe project. [1] P. Y. Steinberg, D. F. Lionello, D. E. Medone Acosta, M. Mercedes Zalduendo, H. Amenitsch, L. P. Granja, B. Marmiroli, P. C. Angelomé, M. C. Fuertes, Frontiers in Materials. 2021, 8, 628245. [2] B. Marmiroli, B. Sartori, A. R. Kyvik, I. Ratera, H. Amenitsch,, Frontiers in Materials. 2021, 8, 686353 [3] M. Tu, B. Xia, D. E. Kravchenko, M. L. Tietze, A. J. Cruz, I. Stassen, T. Hauffman, J. Teyssandier, S. De Feyter, Z. Wang, R. A. Fischer, B. Marmiroli, H. Amenitsch, A. Torvisco, M. de J. Velásquez-Hernández, P. Falcaro and R. Ameloot, Nat. Mater. 2021, 20, 93. [4] M. Gayrard, B. Marmiroli, F. Chancerel, P. Decorse, H. Amenitsch, J. Peron, A. Cattoni and M. Faustini, Nanoscale . 2022, (in press)

V.1.8
16:30
Authors : Mamyrbayev, T.(1)*, Vila-Comamala, J.(1), Li, H.(2), Makita, M. (3), Zhu, D.(2) & David, C.(1)
Affiliations : (1) Paul Scherrer Institut, 5232 Villigen PSI, Switzerland (2) Linac Coherent Light Source, SLAC National Accelerator Laboratory, Menlo Park, California 94025, USA (3) European XFEL GmbH, Holzkoppel 4, 22869 Schenefeld, Germany

Resume : Free-electron lasers (FELs) provide highly coherent, femtosecond X-ray pulses with exceptional brilliance that is several orders of magnitude higher than for synchrotron beams. Such properties enable the investigation of ultrafast dynamic processes in condensed matter and structural biology. Nevertheless, taking full advantage of FEL beams requires the development of tailored X-ray optics capable of withstanding radiation damage from extremely high photon fluxes. With such requirements, diamond is the material of choice due to its excellent thermal conductivity, low X-ray absorption, and high melting point. Here, we present the fabrication process of diamond diffractive optical elements for several X-ray FEL applications. The diffractive optics have been manufactured from single-crystal diamond substrates or polycrystalline (pure or boron-doped) diamond membranes depending on the requirements of the experimental applications. The required patterns are produced by high-resolution electron-beam lithography in HSQ resist and then transferred to the diamond substrate by oxygen-based reactive ion etching. Typically diamond gratings with periods down to 500 nm and a height of several microns can be realized in areas of several square millimeters. Such gratings can be efficiently utilized for the X-ray energies up to 18 keV. Therefore, the diamond gratings are frequently used for X-ray spectroscopy and as beam splitters at the FELs in an amplitude-splitting hard X-ray delay lines. Some of these applications will be presented and discussed.

V.1.9
16:45 Q&A session    
17:00 Break    
 
Poster Session 1 : Flavio Carsughi
17:15
Authors : Ana Conde-Rubio, Xia Liu*, Giovanni Boero, Juergen Brugger
Affiliations : Microsystems Laboratory, École Polytechnique Fédérale de Lausanne (EPFL), 1015 Lausanne, Switzerland

Resume : Two-dimensional materials (2DM) have emerged as potential candidates for low power electronics, optoelectronics, and sensing [1]. However, the chemical and physical processes involved in conventional lithography methods, have shown detrimental effects on 2DM devices [2,3]. Another bottleneck in the fabrication of 2DM devices is its contact resistance to metals. The characteristic Schottky barrier appearing at the junction between a 2DM and a 3D metallic contact remains a limiting factor in the performance of 2DM-based electronic devices. When 2DM are exposed to air, molecules adhere to the surface forming layers with a thickness that can be of the same order of the 2DM itself, affecting the 2DM-metal interface in top-contact devices. Besides, due to the inertness of the basal planes in 2DM, top contacts can only be achieved through Van der Waals forces. When multilayered systems are considered, this becomes an even bigger issue due to the large conductivity anisotropy of 2DM between in- and out-of-plane directions. On the other hand, edge-contacted devices enable shorter bonding distances and covalent bonding to every layer but at the expense of a more complex fabrication process [4]. It has already been demonstrated that t-SPL is a better candidate than electron beam lithography for the fabrication of top-contact 2DM-based transistors as the use of electrons is avoided and the heat from the tip remains sufficiently far from the 2DM to avoid the deterioration of their properties [3]. Here, we go one-step further and achieve edge-contact MoS2 FETs. The patterning is performed by a mix-and match approach combining t-SPL for small features and direct laser writing for large features (both implemented in the same commercial tool). Besides, clean edge-contacts are obtained by using ion-milling to etch MoS2 and physical vapor deposition (PVD) of the electrodes in the same chamber [5]. Devices with different 2DM thicknesses are fabricated. The electrical characterization shows that multilayered devices achieve better performance with respect to single layer devices, with on/off ratios of up to 109 and mobilities up to 38 cm2V-1s-1. These values are comparable with the highest reported values for MoS2 transistors without hBN encapsulation. For the sake of comparison, top-contact devices are also fabricated following a similar process but without ion milling. Top-contact devices show in general poorer performance, most likely due to surface contamination. Besides, the stability of the devices in air is studied showing a notable degradation over time, which suggests that encapsulation of these devices could lead to an increased performance. [1] S. Das et al., Annu. Rev. Mater. Res. 45 (2015) 1?27. [2] Z. Cheng et al., 2D Mater. 6 (2019) 034005. [3] X. Zheng et al., Nat. Electron. 2 (2019) 17?25. [4] Y. Matsuda et al., J. Phys. Chem. C. 114 (2010) 17845?17850. [5] Z. Cheng et al., Nano Lett. 19 (2019) 5077?5085.

V.2.1
17:15
Authors : Xia Liu, Giovanni Boero, Juergen Brugger
Affiliations : Microsystems Laboratory, École Polytechnique Fédérale de Lausanne (EPFL), 1015 Lausanne, Switzerland

Resume : Delicate 2D materials are not fully compatible with conventional lithography processes that typically involve high-energy particles and possible contamination by resist residues, resulting in a key limitation for fabrication of high-quality-contact 2D transistors [1]. Here we develop a novel method of flexible PMMA stencil lithography that combines high-resolution electron beam lithography (EBL) with the precision of shadow masking in PVD. A PMMA resist layer (called stencil) is patterend by EBL, detached from the substrate and then loaded on a flexible transparent substrate, e.g. PDMS [2]. The stencil on PDMS is then flipped and transferred to a target substrate. After removing the PDMS substrate, the PMMA stencil serves as hard-mask for etching or metal deposition. In the end, the PMMA stencil is physically peeled off or chemically dissolved in acetone. Compared to EBL, the PMMA nanostencil is favored for 2D materials since the high energy electron exposure and solution/solvent processes (e.g. spin coating, resist developing) are not directly applied to the 2D materials, which is important to retain the intact lattice structure and the intrinsic properties. Compared to conventional shadow mask, the PMMA stencil has higher resolution (<10 nm) and forms an intimate contact with the target substrate. In addition, the detachment of the stencil is achieved by dissolving the water-solvable sacrificial layers so that the stencil can float on the water without manually handling or deforming. We have developed a novel stencil lithography technique based on PMMA mask pre-fabrication and self-detachment process. The flexible nature of PMMA layer enables intimate contact with various 2D materials even on or curved substrates without physical gap or blurring effect. By applying the PMMA stencil lithography, the operation of MoS2 transistors are demonstrated with high mobility of over 60 cm2V-1s-1 and ohmic contact. Our method has potential to improve the performance of 2D transistors without lithography-induced damages. [1] Shen et al. Nature 593.7858 (2021): 211-217. [2] Cai et al. ACS nano 12.9 (2018): 9626-9632.

V.2.2
17:15
Authors : Sofia Aslanidou, Elif Ozceri, Alberto Garcia-Garcia, Philippe Godignon, Gemma Rius
Affiliations : Institute of Microelectronics of Barcelona, IMB-CNM-CSIC (Spain)

Resume : Graphene can still be considered as a potential enabler of next-generation (opto)electronics. High crystal quality graphene is required for optimal performance of (graphene) electronic devices which is typically available as a scalable approach only from synthetic materials either based on CVD graphene on Cu foils or epitaxial graphene (EG) on SiC wafers [1]. Yet, fabrication of devices is often detrimental to its as-grown or pristine characteristics. We report processing considerations and fabrication process flow for a discrete number of graphene devices, such as top-gated FETs, based on large anisotropic single crystal EG flakes obtained on the C-face of SiC by high temperature sublimation [2]. As distinctive features of the nanofabrication approach, we would highlight two as follows. The as-grown single and bilayer (SLG and BLG) EG isolated flakes were detached and transferred to SiO2 substrate by our own PMMA-assisted electrochemical delamination technique; a non-destructive, reproducible and low-cost exfoliation method analogous to the used for CVD graphene and adapted for the first time by us for EG on SiC [3]. Additionally, we tested the use of a crosslinked PMMA thin film (100 nm thick) as the top dielectric layer, which patterning is done by EBL as a single step, and has allowed us to fabricate and test graphene FETs. Dedicated nanofabrication steps and designs have been implemented to study the quality and electronic performance of each of the isolated and electrically interfaced graphene flakes. The alignment and patterning of metal contacts is done specifically for each transferred single crystal, consisting in EBL plus Ti/Au thin film evaporation and resist lift off process. Dielectric patterning and top metal contact is also based on EBL. The electrical resistance was studied via 2- and 4-probe method which showed linear I-V relationship, thus corresponding to ohmic contacts. Resistances ranging from below 1 kΩ to about 5 kΩ for variable sizes and shapes of the flakes and contacts are obtained. Structural analysis of the transferred SLG and BLG flakes on SiO2 by SEM, AFM and Raman Spectroscopy and improvements of contact resistance by specific treatments such as rapid thermal annealing (RTA) will also be shown. Both structural and electrical characterizations indicate that the high crystalline quality of EG is preserved upon electrochemical transfer and validate our basic device fabrication processing. Importantly, the testing of the crosslinked-PMMA dielectric allowed us to study the FET performance of the flakes. While leaky at room temperature, crosslinked PMMA becomes an efficient insulating layer already at -10C, which gave access to study the carrier density modulation of the graphene flakes by local top-gate metal contacts. [1] G. Rius and P. Godignon, ISBN : 9814774200, 2018. [2] N. Camara et al., Phys. Rev. B 80, 125410, 2009. [3] G.Rius et al., Patent Appl. US20200031675, 2020.

V.2.3
17:15
Authors : Furkan Ayhan, Thomas Mortelmans, Yasin Ekinci, Dimitrios Kazazis
Affiliations : Paul Scherrer Institute, 5232 Villigen, Switzerland; Ecole Polytechnique Fédérale de Lausanne, 1015 Lausanne, Switzerland; Swiss Nanoscience Institute, University of Basel, 4056 Basel, Switzerland; Centre Suisse d’Électronique et de Microtechnique, 2002 Neuchâtel, Switzerland

Resume : We report on the development of a patterning method, using PMMA exposed by e-beam lithography (EBL), which requires no wet development. We have observed a dependence of the SF6/O2 plasma-etch rate exposed PMMA on electron dose, showing, remarkably, a negative-tone behavior. This effect not only enables the plasma (dry) development of PMMA, where no solvent solutions are required, but also enables PMMA as a negative-tone resist as the plasma etch rate of PMMA decreases with increasing electron dose. Although it is well known that PMMA shows a negative-tone behavior due to crosslinking, when exposed to very high electron doses, in our case, the electron doses are more than one order of magnitude lower where no such crosslinking takes place. The method can be used not only to develop PMMA but also to simultaneously transfer the pattern to a Si substrate, without the need for any wet-development step in between, as the SF6/O2 plasma can also etch Si. The elimination of the wet development step not only simplifies the process, but also enables the fabrication of structures that are not compatible with the solvents used in wet development. Moreover, since no wet chemistry is used, pattern-collapse issues due to capillary effects are also minimized. Examples of both binary and grayscale fabricated structures in Si by EBL and plasma development are presented along with the roughness of the plasma-developed structures, measured by atomic force microscopy (AFM). It is shown that the plasma-development technique does not significantly increase pattern roughness in comparison to conventional negative-tone processing of PMMA at higher electron doses. By varying the process parameters one can achieve a higher contrast more adapted to binary patterning or a more linear contrast for grayscale applications. In either case the required electron doses and therefore the exposure times are in the same range as for positive-tone e-beam exposed PMMA. In future work, the resolution of the technique can be improved by a more optimal e-beam dose modulation and by fine-tuning the plasma etching parameters. This will pave the way for critical, high-resolution patterning where wet development is problematic or challenging.

V.2.4
17:15
Authors : E. Scattolo (a,b), A. Cian (a), D. Giubertoni (a), G. Paternoster (a), L. Petti (b), P. Lugli (b)
Affiliations : (a) Sensors and Devices Center, Bruno Kessler Foundation, Trento, I-38123, Italy; (b) Free university of Bozen, 39100 Bolzano BZ, Italy

Resume : Many theoretical and experimental results over the last two decades have revealed that plasmonic structures offer amazing, distinctive, and fascinating optical properties. As a result, several scientific and industrial applications have attempted to benefit from plasmonics, resulting in significant innovation in nanofabrication processes. For example, the combination of plasmonic nanostructures with complementary metal-oxide-semiconductor (CMOS) optical sensors capable of converting photons into electrical signals has gained interest due to the potential to extend Silicon spectral responsivity in a wider spectral region. In particular, the possibility to exploit Silicon technology and devices for UV light detection is of interest in many applications, e.g. from spectroscopy, and quantum optics to lithography, and sterilizing. The fundamental disadvantage of employing Silicon-based detectors in UV range is their low performance owing to a too high refractive index, which results in a large proportion of reflected light. Moreover, the high refractive index makes challenging the choice of a material for a perfect antireflective coating (PARC) with nearly no absorption in UV range. Unlike the manufacturing of plasmonic nanostructures on an inert substrate, the integration of plasmonic nanostructures on active sensors necessitates consideration of both surface topography and active behaviors of the sensors. One of the process techniques for producing nanostructures that is compatible with CMOS technology is the Focused Ion Beam (FIB) technique, which does not require a mask or photoresist since the focused ions are deposited directly on the material of interest. FIB’s direct patterning allows the nanostructures to be completely customized in terms of shape and aspect ratio. However, direct patterning can cause ions to be implanted in the substrate, resulting in defects, and changing the electrical behavior of the sensors. In this work, we investigate the antireflection role of plasmonic disk and hole nanostructures integrated directly on a Si-based active device patterned by Focused Ion Beam technique. Among the materials studied in literature, Aluminum and Silicon have been selected because presenting plasmonics behavior in UV and both are compatible with CMOS technology. Moreover, both Aluminum and Silicon show good value of the plasmonics quality factor (i.e. ratio between the real and imaginary part of the relative permittivity) in the range (200-300) nm, i.e. 7.5 and 1.2, respectively. The theoretical fraction of light reflected has been evaluated in the range (210-310) nm by Finite-Difference Time-Domain simulation (Lumerical software) and it has been reported as function of the features of the plasmonic nanostructures: thickness, diameter, pitch, single or dimer, and material. By simulation results, the best set of the geometrical features and material have been selected to minimize the reflection in the tested wavelength range and integrated on an Si-based detector by FIB technique. To prove that the integration of plasmonic nanostructures directly on silicon device is a valid solution to overcome the limitation of Si in the UV range.

V.2.6
17:15
Authors : Konstantins Jefimovs1, Zhitian Shi1,2, Lucia Roimano1,2,3, Craig Lawley1, Daniel Josell4, Vitaliy A. Guzenko1, Marco Stampanoni1,2
Affiliations : 1Photon Science Division, Paul Scherrer Institut, 5232 Villigen PSI, Switzerland 2Institute for Biomedical Engineering, University and ETH Zürich, 8092 Zürich, Switzerland 3Department of Physics and CNR-IMM- University of Catania, 64 via S. Sofia, Catania, Italy 4Materials Science and Engineering Division, National Institute of Standards and Technology, Gaithersburg, Maryland 20899, USA

Resume : The resolution of a standard contact/proximity photolithography tool is typically limited to ~0.5-0.6 micrometers. However, the precise control of the linewidth and uniformity becomes challenging for gratings with pitches in the range of 1-2 micrometeters, especially for thin wafers (below ~300 micrometers). Any surface inhomogeneity or defects present on the wafer or the mask surfaces can lead to noticeable linewidth variations on large areas around the defects. We utilized the displacement Talbot lithography (DTL) technology to pattern linear and 2D gratings with a pitch in a range from 1200 nm down to 250 nm on substrates as large as 200 mm in diameter. The method is non-contact with high depth of focus, which makes it essentially insensitive to surface non-planarity (such as bow and warp) and enables exposures with very high linewidth uniformity on thin and even slightly bent or deformed wafers [1]. During the DTL, the collimated illumination is passing through a phase mask with periodic structures and forms a Talbot pattern, the distance between the mask, while the substrate is scanned during exposure by one or several Talbot distances in the direction of the illumination [2]. After the lithography step the pattern is transferred into an underlying antireflective coating by reactive ion etching (RIE) in oxygen plasma and then further into optional Cr hard mask by RIE in a Cl2 based process. Finally, high aspect ratio structures are etched into the Si substrate in SF6/C4F8 based deep reactive ion etching process using Bosch technique [3]. We achieve uniform gratings over full wafer areas with pitches down to 1200 nm and structure heights up to ~40 micrometers [4]. Further, some of the Si gratings are filled with Au by utilizing the techniques of electroplating through a mask [5], conformal electroplating [6] or bottom-up electroplating [7]. Such gratings are key components that enable construction of compact systems for phase contrast X-ray imaging with wide-ranging applications in diagnostic medicine, biology, failure analysis in microelectronics and material science. Some examples and selected applications of fabricated gratings for X-ray imaging are presented. [1] H. Solak, C. Dais, and F. Clube, Opt. Express 19 (2011) 10686 [2] Z. Shi, K. Jefimovs, L. Romano, and M. Stampanoni, Jap. J. Appl. Phys. 60 (2021) SCCA01 [3] K. Jefimovs, Lucia Romano, J. Vila-Comamala, M. Kagias, Z. Wang, L, Wand, C. Dais, H. Solak, and M. Stampanoni, Proc. SPIE 10146 (2017) UNSP 101460L [4] Z. Shi, K. Jefimovs, L. Romano, and M. Stampanoni, Micromachines 11 (2020) 864 [5] M. Kagias, Z. Wang, V.A. Guzenko, C. David, M. Stampanoni, K. Jefimovs, Mat. Science Semicond. Proc. 92 (2019) 73-79 [6] K. Jefimovs, J. Vila-Comamala, C. Arboleda, Z. Wang, L. Romano, Z. Shi, M. Kagias, and M. Stampanoni, Micromachines 12 (2021) 517 [7] D. Josell, Z. Shi, K. Jefimovs, V.A. Guzenko, C. Beauchamp, L. Peer, M. Polikarpov, and T.P. Moffat, J. Electrochem. Soc. 168 (2021) 082508

V.2.7
17:15
Authors : Julius Bürger, Harikrishnan Venugopal, Daniel Kool, Teresa de los Arcos, Alejandro González Orive, Guido Grundmeier, Katharina Brassat, Jörg K.N. Lindner
Affiliations : Nanostructuring, Nanoanalysis and Photonic Materials Group, Dept. of Physics, Paderborn University, Paderborn, Germany; Nanostructuring, Nanoanalysis and Photonic Materials Group, Dept. of Physics, Paderborn University, Paderborn, Germany; Nanostructuring, Nanoanalysis and Photonic Materials Group, Dept. of Physics, Paderborn University, Paderborn, Germany; Technical and Macromolecular Chemistry, Dept. of Chemistry, Paderborn University, Paderborn, Germany; Institute of Materials and Nanotechnology, Universidad de La Laguna, Santa Cruz de Tenerife, Spain; Technical and Macromolecular Chemistry, Dept. of Chemistry, Paderborn University, Paderborn, Germany; Nanostructuring, Nanoanalysis and Photonic Materials Group, Dept. of Physics, Paderborn University, Paderborn, Germany; Nanostructuring, Nanoanalysis and Photonic Materials Group, Dept. of Physics, Paderborn University, Paderborn, Germany

Resume : Block copolymer lithography (BCP) allows the creation of periodic nanoscale features on large surface areas with controllable motifs and feature sizes in the 10 nm regime and, thus, renders a competitive alternative to conventional lithography techniques in the semiconductor industry and beyond. BCP lithography is based on the self-assembly (SA) of two blocks of immiscible polymer species covalently bond in a BCP chain. After selectively removing one of the blocks, a mask with e.g. hexagonally arranged pores with few nm diameters is obtained, which can be used for further lithographical processes. Since features after processing can only be as precise as their templates, the sharpness of microdomain interfaces and their line edge roughness (LER) plays an important role, which strongly depends on the self-assembly process and the used polymers. In addition, the influence of selective removal technique on the LER and interfacial width is equally crucial but has hardly been studied so far. We show how polymer domain sizes and morphologies as well as the interfacial widths and LERs of a cylinder-forming BCP consisting of polystyrene (PS) and polymethylmethacrylate (PMMA) change along different selective removal routes. Therefore, untreated, as well as wet chemically UV/acetic acid treated and Ar/O2 plasma etched BCP films are investigated utilizing advanced analytical (scanning) transmission electron microscopy ((S)TEM) at an acceleration voltage of 60 kV. Free-standing BCP membranes for TEM analyses are obtained by applying the BCP SA on a SiO2 sacrificial layer, subsequently etching with HF and skimming the membrane with a gold TEM grid. By using a self-written MATLAB application that calculates angularly resolved radial intensity profiles of each individual pore from annular dark-field (ADF) STEM images, domain sizes, interfacial widths and LERs are obtained with unprecedented accuracy. In case of the as-separated film, we observe rough pore side walls and a broad interfacial width. The pores of the wet-chemically treated sample resemble much more the expected cylindrical shape but have residual material inside the pores. After plasma etching, no material is remaining in the pores and an increase in diameter as well as a decrease in LER as well as interfacial widths is discovered. Additional investigations by energy filtered TEM show a reduction in thickness of the PS mask and approve the complete removal of PMMA, rendering the plasma treated specimen a potential candidate for high resolution lithography processes.

V.2.8
17:15
Authors : T. Allenet, M. Vockenhuber, C-K. Yeh, Y. Ekinci, D. Kazazis
Affiliations : Laboratory for X-ray Nanoscience and Technologies, Paul Scherrer Institut, 5232 Villigen-PSI, Switzerland

Resume : Progress in modern society is substantially driven by development of chip technologies. As downscaling of feature sizes is targeted for increased computing power and storage capacity, the latest resolution milestone in high-volume-manufacturing was achieved by introducing EUV lithographic systems. Admittedly, the improvement of photoresists is a key enabler for future technology nodes, therefore dedicated research in this field is crucial to identify befitting resist infrastructure. To that extent, the EUV interference lithography tool commissioned in the Swiss Light Source provides a few advantages for the testing of novel EUV materials. In this work, we present the tool as well as the full-scope resist screening metrology which is implemented at PSI. We review current development status of different resist platforms by characterizing their potential in terms of resolution, defectivity rate and throughput. We discuss short-term perspectives of each platform towards optimizing industrial solutions.

V.2.9
17:15
Authors : Thomas Mortelmans, Dimitrios Kazazis, Celestino Padeste, Xiaodan Li, Per Magnus Kristiansen, Yasin Ekinci
Affiliations : Paul Scherrer Institute, 5232 Villigen-PSI, Switzerland; Swiss Nanoscience Institute, University of Basel, 4056 Basel, Switzerland; INKA Institute of Polymer Nanotechnology, FHNW, 5210 Windisch, Switzerland; University College Dublin, Belfield, Dublin 4, Ireland

Resume : In the last decades, microfluidic and nanofluidic devices have gained significant interest in the field of biomedical research because they allow for rapid sample processing with precise fluid control and low sample volumes. Such devices have enabled a variety of experiments in lab-on-a-chip based setups, ranging from particle focusing to cellular growth models. Nevertheless, the minimal feature size of these devices is limited by the resolution of the employed fabrication method, among which UV-lithography is often used. Moreover, in many cases, flexible control over the height and depth of the device is neededGrayscale e-beam lithography (g-EBL), allows for a further decrease of the feature size and enables the fabrication of more complicated 3D microfluidic devices via dose-modulated grayscale patterning. To investigate the potential of EBL for grayscale patterning, we studied a high molecular weight poly(methyl methacrylate) (PMMA) resist. It was exposed using a 100 keV electron beam at varying exposure doses. Its post-exposure behavior was extensively characterized, specifically the dependence of the dose-response behavior of the resist material on the time between exposure and development (tED). Our experiments demonstrate that the higher the exposure dose, the longer it takes the development depth to reach a steady state. This characterization allowed to accurately and reproducibly pattern 3D nanofluidic devices for downstream processing. The grayscale-patterned structures were used as a template to enable upscaling of microfluidic device fabrication. We show that it is possible to directly perform nickel electroforming from g-EBL structures in PMMA resist to reliably fabricate a high-quality nickel shim. The nickel shim was used to injection mold 3D nanofluidic devices in PMMA and cyclic-olefin polymer (COP). The injection-molded devices contain various structures, such as rectangular lines, which simultaneously offer support during bonding and enable a higher degree of control over the fluid-filling front upon device loading. This work provides means to control the 3D topography after development in the context of grayscale e-beam lithography. On top of this, the g-EBL structures can be used to fabricate injection molding-compatible nickel shims. The combination of these techniques enables precise, cost-effective, and rapid fabrication of nanofluidic devices with a changing topography. We will present our recent developments and provide a fluidic outlook.

V.2.10
17:15
Authors : I. Giannopoulos, Y. Ekinci, D. Kazazis
Affiliations : Laboratory for X-ray Nanoscience and Technologies, Paul Scherrer Institute, 5232 Villigen PSI, Switzerland

Resume : Extreme ultraviolet (EUV) lithography is a leading nanolithography technique that aims to the reduction of feature size in future CMOS technology nodes. With a wavelength of 13.5 nm, EUV is currently being utilized in massively produced 5 nm-node chips. Despite its commercialized capabilities that result in a minimum metal pitch of 24 nm, there are substantial challenges when attempting half-pitch sizes in the sub-10 nm regime for future high-NA EUV patterning. At the Paul Scherrer Institute, we have been developing a lithographic setup based on EUV interference lithography (EUV-IL). The EUV-IL technique has been extensively used in developing photoresist materials for EUV lithography and in a plethora of scientific projects where periodic nanopatterning is necessary. The setup is located at the XIL-II beamline of the Swiss light source (SLS), the synchrotron of the Paul Scherrer Institute. We resort to synchrotron radiation because it can emit spatially coherent and polarized EUV light with remarkable brilliance, making it an ideal source for interferometry. Spatial coherence is particularly important for interference, because the system uses transmission diffraction gratings to create the interference pattern that is recorded in the photoresist. These in-house fabricated transmission gratings consist of arrays of parallel slits defined by electron beam lithography and located on thin transparent membranes. Depending on the relative position and the number of these gratings, the technique can produce not only line/space interference patterns but also more complex two-dimensional aerial images such as square and hexagonal arrays of holes, kagome patterns etc. In this poster, we present the current advances in our EUV-IL work and, notably, in the development of high-resolution and efficient diffraction gratings towards single-digit nanolithography. Several nanofabrication schemes are presented and compared by demonstrating exposed patterns in high-resolution EUV resists. We provide process details regarding the fabrication of the gratings as well as relevant optimization strategies. Moreover, we evaluate quality factors such as diffraction efficiency, precision, and reproducibility in lithographic patterning. Finally, we discuss the non-fundamental limitations of our technique and we set the guidelines for accurate root-cause analysis. Overall, we believe that the contributions and the distilled knowledge output of our research will play a key role in the technological progress towards the angstrom nodes.

V.2.11
17:15
Authors : Prajith Karadan, Dimitrios Kazazis, Yasin Ekinci
Affiliations : Paul Scherrer Institute, 5232 Villigen PSI, Switzerland

Resume : Monolayer doping methods offer controlled doping of nanostructures and 3D device architectures using molecular monolayers with uniform, self-limiting characteristics. Instead of doping the entire surface, area-selective dopant patterning is particularly important for various device applications. Direct patterning of the monolayer without the use of a resist opens the way to the development of more complex nanostructures with applications not only in standard microelectronic chip manufacturing but also in exploratory, unconventional devices for future technology nodes or even quantum computing. Extreme UV lithography (EUV) is the most advanced lithographic technique in the mass production of integrated circuits with the ability to achieve ultimate resolution and high throughput. The combined procedure of monolayer doping and resistless EUV lithography can be used to produce nano-patterned, ultra-shallow doping profiles on Si surfaces or nanostructures. For this purpose, Boron or Phosphorous containing monolayers are grown on Si by self-assembly and high-temperature rapid thermal annealing is performed leading to the monolayer fragmentation followed by diffusion and activation of dopants into Si. We explore the use of the EUV interference lithography (EUV-IL) tool, located at the XIL-II beamline of the Swiss light source (SLS) at the Paul Scherrer Institute in Switzerland to directly pattern the monolayer prior to annealing, without the use of any photoresist. Our procedure has multiple advantages. First, a lithographic technique with record high resolution is utilized. Second, EUV light at 13.5 nm is used, which is in line with the industry standard. Finally, no photoresist is necessary for the patterning, which immediately eliminates all resist-related issues, such as pattern collapse, roughness etc. References 1. Ho, J.C., Yerushalmi, R., Jacobson, Z.A., Fan, Z., Alley, R.L. and Javey, A.Controlled nanoscale doping of semiconductors via molecular monolayers. Nature materials, 2008, 7. 2. O. Lugier, N. Thakur, L. Wu, M. Vockenhuber, Y. Ekinci, and S. Castellanos, Bottom-Up Nanofabrication with Extreme-Ultraviolet Light: Metal−Organic Frameworks on Patterned Monolayers, ACS Appl. Mater. Interfaces 2021, 13, 36. 3. Daniel Fan and Yasin Ekinci, Photolithography reaches 6 nm half-pitch using extreme ultraviolet light, J. Micro/Nanolith. MEMS MOEMS 15(3), 033505.

V.2.12
17:15
Authors : C. Burri *(1),(2), J. Ravnik (1), D. Kazazis (1), D. Mihailovic (3), Y. Ekinci (1), S. Gerber (1)
Affiliations : (1)Laboratory for X-ray Nanoscience and Technology, Paul Scherrer Institut, Villigen PSI, Switzerland (2)Laboratory for Solid State Physics, ETH Zurich, Zurich, Switzerland (3)Department of Complex Matter, Jožef Stefan Institute, Ljubljana, Slovenia

Resume : Van der Waals materials are of great scientific and technological interest but their patterning and integration on Si technologies remain a challenge. 1T-TaS2, a layered transition metal dichalcogenide, shows many thermodynamic equilibrium states upon cooling. Namely, a metallic state at high temperatures is followed by a series of charge-density wave phases and a Mott insulating state emerges at low temperatures. In addition, a conducting metastable non-equilibrium state can be induced at low temperatures via optical and electrical pulses. The material is an ideal candidate for ultrafast non-volatile memory devices since the transition to the metastable state takes place on sub-picosecond timescales. In order to investigate the equilibrium and non-equilibrium phases, 1T-TaS2 flakes are mechanically exfoliated from bulk crystals and deposited on an oxidized Si substrate with predefined Au alignment markers. The flakes are characterized by optical microscopy and contacts to the flakes are designed by aligning with the Au markers. Since the switching current scales with the contact distance, state-of-the-art electron beam lithography is used to define the nanoscale Pd/Au contacts. The fabricated devices are characterized via transport measurements, as well as photoemission spectroscopy and X-ray scattering, where the latter provide unique insight into the structural and electronic degrees of freedom in the non-equilibrium phase switching.

V.2.13
17:15
Authors : Mattias Åstrand, Thomas Frisk, Hanna Ohlin, Ulrich Vogt
Affiliations : KTH Royal Institute of Technology

Resume : Electron-beam lithography (EBL) is a technique of great value to research at the nanoscale as it can directly be implemented in the fabrication of high-resolution structures without the need of a mask. Electrons are precisely accelerated onto a resist layer, and confined scattering allocates energy that changes the solubility of the resist itself, allowing for specific areas to be eliminated upon development. Forward scattering results in affecting the resist in a conical volume, which becomes more pronounced with increasing thickness of the layer and lower acceleration voltage. If backscattering from the substrate is eliminated by exposure on thin Si3N4 membranes, forward scattering is the only effect that is detrimental towards resolution, and one can work around the broadening of developed features due to cone-shaped energy allocation by reducing the area of exposure in size accordingly. It has been observed that such reduction eventually results in underdosed samples, hence the need for a scheme to modulate dose and successfully write through a given resist layer. We have carried out experiments with a 50 kV system, which offers a good compromise between resolution, speed and cost of ownership. Furthermore, we implemented CSAR 62 resist in 410 nm thick layers, making use of its good contrast and sensitivity, and high etch resistance, meaning facilitated compatibility with subsequent processes (e.g., electroplating for pattern transfer). Our studies have brought us to understanding that the phenomenon of dose insufficiency is related to a discretization effect that becomes relevant only when performing nm-resolution EBL. A model capable of explaining the insufficiency has been formulated, and verified experimentally.

V.2.14
17:15
Authors : M. S. M. Saifullah, D. Kazazis, V. A. Guzenko, M. Vockenhuber, Y. Ekinci
Affiliations : Paul Scherrer Institute, 5232 Villigen, Switzerland

Resume : For close to 100 years, cupferron (ammonium salt of N-nitroso-N-phenylhydroxylamine) has been used as a complexing agent for the gravimetric analysis of metals. In a metal cupferrate, the anion binds to the metal cation through the two oxygen atoms, forming five-membered chelate ring. The chelate ring can be cleaved using either a beam of energetic electrons or extreme ultraviolet (EUV) photons to achieve a negative tone behavior. Given this possibility, however, there are no existing studies to demonstrate their application as resists for particle and photon lithographies. Furthermore, since this is a molecular resist of low molar mass, it can potentially provide a very high-resolution patterning. Cupferrates of zinc, nickel, and cadmium were prepared by metathesis reaction between metal salts and cupferron in an aqueous medium at 0-5 °C. They were tested for their solubility, film formability, and sensitivity to energetic beams. In this poster, we will discuss the synthesis and patterning characteristics of zinc, nickel, and cadmium cupferrate resists, and demonstrate their potential as high resolution resists. Electron beam patterning was carried out at 100 kV acceleration voltage inside a Vistec EBPG5000Plus direct writer whilst the XIL-II beamline at the Swiss Light Source was used for EUV interference lithography at 13.5 nm wavelength.

V.2.15
Start atSubject View AllNum.
 
Presentation Session 2 : Francesz Perez Murano
09:00
Authors : Yasin Ekinci
Affiliations : Paul Scherrer Institut

Resume : Moore?s law, stating the steady downscaling of the semiconductor devices in the last five decades, as predicted by Moore?s law, has changed our daily lives. The driving technology behind this progress was the advancements in photolithography. Extreme ultraviolet (EUV) lithography at 13.5 nm wavelength is the manufacturing method for high-volume semiconductor manufacturing at 7 nm technology node and below. To enable future progress, many challenges lie ahead. In addition to the optical resolution, other limitations are already emerging, such as photon shot noise, mask 3D effects, and, not the least, resist materials. In this presentation, some of these issues will be addressed, and potential solutions are proposed.

V.3.1
09:30
Authors : G. Seguini 1, A. Motta 1, M. Bigatti 1, F.E. Caligiore 1, G. Rademaker 2, A. Gharbi 2, R. Tiron 2, G. Tallarida 1, E. Cianci 1, and M. Perego 1
Affiliations : 1 IMM-CNR, Unit of Agrate Brianza, Via C. Olivetti 2, I-20864 Agrate Brianza, Italy 2 Univ. Grenoble Alpes, CEA, Leti, F-38000 Grenoble, France

Resume : Sequential infiltration synthesis (SIS) is a three-dimensional (3D) growth process derived from atomic layer deposition (ALD) on polymers. SIS permits to grow inorganic materials into polymeric films. SIS into self-assembled block copolymers (BCP) offers the possibility to fabricate inorganic nanostructures starting from nanostructured organic templates. The fine-tuning of the dimensions of the resulting nanostructures can be achieved by proper selection of the SIS process conditions. In particular, the sequential reaction steps of the SIS process allow tuning the dimensions of the nanostructured material adjusting the number of SIS cycles. This capability to achieve a fine tuning of the dimensions of the resulting inorganic nanostructures is fundamental to make this technology suitable for the different target applications. At the same time, this step by step growth of the inorganic nanostructures allows to obtain information about the progressive mass uptake of the inorganic component into the polymer matrix and to delve into the growth mechanism. In this work, Al2O3 dot and antidot arrays were synthetized by infiltration of trimethylaluminum and water precursors into out of plane cylinder forming poly(styrene-block-methyl methacrylate) (PS-b-PMMA) BCP thin films. The evolution of the characteristic dimensions of these inorganic nanostructures were investigated as a function of the SIS cycle number. Collected data provided information about the mechanism governing the effective incorporation of Al2O3 into the PMMA component of the BCP thin films. Accordingly, mass uptake of Al2O3 into the PMMA component of self-assembled PS-b-PMMA thin film is significantly enhanced compared to mass uptake in pure PMMA thin films, due to the presence of additional paths for diffusion of precursor molecules into the not reactive PS component and to their sorption at the PS/PMMA interface. Mass uptake is directly proportional to the surface of the PMMA nanodomains suggesting that in this specific BCP system the incorporation of Al2O3 into the PMMA component is essentially sorption limited. From the technological point of view, collected data demonstrate that SIS provides accurate control on the characteristic dimensions of the Al2O3 dot and antidot arrays. This research was partially supported by the project “IONS4SET” funded from the European Union’s Horizon 2020 research and innovation program (Grant No. 688072).

V.3.2
09:45
Authors : Philip Darragh, Dr. Ryan Enright, Prof. Michael Morris
Affiliations : AMBER, CRANN Institute, Trinity College Dublin, Dublin 2, Ireland; Nokia Bell Labs, Murray Hill, New Jersey, USA

Resume : The production of microporous polymeric films can be achieved by changing the thermodynamics of a polymeric solution in a humid atmosphere. The method, known as “breath figure” (BF) templating, typically involves drop casting a volatile polymer solution on to a flat surface and an evaporative cooling effect of the polymer solution causes condensation of water droplets on to the surface and their packing dictates the micropore’s density and size of the film. The BF method has received significant attention as the process can create homogenous porosity in polymer films in the order of minutes. However, the technique has very significant flaws in reproducibility and reliability due to the lack of control during the rapid formation process. Here we outline an alternative approach using a customised apparatus that can create various film porosity by precisely controlling and automating relevant variables dictating the BF process including solvent saturation, relative humidity, temperature and time. In this work, we focus on a polystyrene (PS) polymer system with varying molecular weights. PS is a low-cost polymer that has high spin-coating thickness uniformity, process stability and functions as a dry etch resist. By monitoring the swelling the PS film exposed to a solvent vapor using in-situ reflectometry, we can bring the polymer above the glass transition temperature (Tg) and create a viscoelastic film that can be templated by condensing water droplets. Conceptually, this process avoids the challenges associated with complex heat/mass transfer dynamics by affecting the swelling and templating the film in a stepwise manner through temperature and vapor flow control. This approach can allow us to understand the role of thermodynamics and wetting interactions on water droplet templating and interpret the effects of polymer swelling on the production of the microporous materials. Using these concepts, we can create a fast, fully automated, low-cost, and scalable method for producing microporous polymer resists that can function as pattern-transfer templates for lithographic applications.

V.3.3
10:00
Authors : C. Popescu(a), G. O’Callaghan(a), A. McClelland(a), J. Roth(b), E. Jacksonb, A.P.G. Robinson(a),(b)
Affiliations : (a) Irresistible Materials, Birmingham Research Park, Birmingham, UK (b) Nano-C, 33 Southwest Park, Westwood, MA, USA. e-mail: a.p.g.robinson@bham.ac.uk

Resume : As the requirements for ever smaller lithographic patterns continue, the semiconductor industry has moved from patterning with 193nm light to Extreme Ultraviolet Lithography (EUV), which has introduced a number of challenges in the design of photoresists. EUV photons have significantly higher energy and the resist is exposed by radiation chemistry routes rather than the well-known photochemistry from early lithographic nodes. In addition, resists must contend with much higher photon-shot noise, require high EUV absorbance to offset the need for very thin films, especially in High-NA EUV, where the depth of focus will be less than 20 nm, and ultimately the theoretical resolution limits of EUV will approach the size of typical photoresist molecules. We are developing a new type of photoresist based on the multi-trigger concept, which seeks to suppress line edge roughness using a new photoresist mechanism, and which is based on molecular rather than polymeric materials to maximize resolution. Here we present results from work focused on the enhancement of the high-opacity multi trigger resist obtained using the new MTR system containing a high-Z cross-linker with a variation of process conditions and formulation variations. The lithographic performance at pitch 28nm patterned on an ASML NXE3400 scanner is presented on a variety of substrates. Lines with a width of 12nm can be patterned at 54mJ/cm2 with a biased LWR of 4.2nm using a 22nm resist film thickness spun on the Brewer Optistack AL412 underlayer (12nm thickness). We also present results on SOG/SOC stacks and the optimization required of the substrate to improve LWR and decrease defectivity. We further present results where we have been targeting sub 20mJ/cm2 patterning. Introducing an alternative PAG but maintaining constant formulation and process conditions has enabled the patterning of p32 line spaces with 16nm lines with a dose of 16.4mJ/cm2 and a biased LWR of 4.75nm. Work is continuing to reduce the LWR whilst maintaining a sub 30mJ/cm2 dose. Multi-trigger resist has also been used to pattern pillars arranged in a hexagonal pattern. We show results at pitch 34nm, again patterned on an ASML NXE3400, patterned at 77.5mJ/cm2 to obtain a pillar diameter of 17nm with a LCDU of 4.3nm with a focus window of over 60nm. A film thickness of 17nm was used. For pitch 38nm pillars, we can show a defect free process window at best focus of >±5% of CD. Additionally, formulation changes have shown that we can reduce the dose required for 19nm diameter hex pillars at p38 to 52mJ/cm2 whilst maintain a LCDU of 3.9nm using a 26nm resist film thickness. Keywords: EUV lithography, photoresist, molecular resist, multi-trigger resist, chemical amplification, crosslinking

V.3.4
10:30
Authors : T.S. Lopes 1,2,3,4, J.P. Teixeira1, B.R. Ferreira1, M.A. Curado1,5, J.M.V. Cunha1,6,7, K. Oliveira1, A.J.N. Oliveira1,6,7,A.Violas1,6,7,8, J.R.S. Barbosa1, P.C. Sousa1, I.Çaha1 J. Borme1, J. Ring9, W.C. Chen8, Y. Zhou9, F.L. Deepak1 ,M. Edoff8, G. Brammertz2,3,4, P.A. Fernandes1,7,10, B. Vermang2,3,4, P.M.P. Salomé1,6
Affiliations : 1-INL – International Iberian Nanotechnology Laboratory, Avenida Mestre José Veiga, 4715-330 Braga, Portugal 2-Institute for Material Research (IMO), Hasselt University (partner in Solliance), Agoralaangebouw H, Diepenbeek, 3590, Belgium 3-Imec division IMOMEC (partner in Solliance), Wetenschapspark 1, 3590 Diepenbeek, Belgium 4-EnergyVille, ThorPark, Poort Genk, 8310 & 8320, 3600 Genk, Belgium 5- CFisUC, Department of Physics, University of Coimbra, P-3004-516 Coimbra, Portugal 6-Departamento de Física, Universidade de Aveiro, Campus Universitário de Santiago, 3810-193 Aveiro, Portugal 7-i3N, Universidade de Aveiro, Campus Universitário de Santiago, 3810-193 Aveiro, Portugal 9- Ångström Laboratory, Department of Engineering Sciences, Uppsala University, 751 21 9 -Obducat AB, Medicon Village, 223 63 Lund Sweden Uppsala, Sweden 10-CIETI, Departamento de Física, Instituto Superior de Engenharia do Porto, Instituto Politécnico do Porto, 4200-072, Porto Portugal

Resume : Cu(In,Ga)Se2 (CIGS) solar cells have the highest efficiency value among the second generation solar cells (23.35 %). Nevertheless, to reach a prominent position in the photovoltaic (PV) market, a reduction in fabrication costs and a presence in new markets is required. This can be achieved by reducing the CIGS absorber thickness value to the sub-micrometre range and by using flexible substrates. However, decreasing the CIGS absorber thickness lowers its optical performance and increases the recombination at the rear interface, heavily limiting the performance. One strategy to minimize rear recombination is the implementation of a nano-patterned dielectric layer – the so called point contact structure - at the CIGS/rear contact interface. Until now, the patterning of the dielectric has been done by: high-resolution electron beam lithography (EBL) - expensive and with low direct writing throughput; by direct write laser (DWL) optical lithography - high throughput but low resolution; or by nanoparticles lift-off – random features size and distance. Nanoimprint lithography (NIL) emerges as a fabrication technique that combine EBL and DWL advantages and can overcome the drawbacks of both techniques. This work explores the capabilities of NIL to pattern rear passivated ultrathin CIGS based devices, with SiOx being the passivation layer of the rear interface. Devices patterned with NIL are compared with devices patterned with EBL, in a soda lime glass substrate (SLG). In addition to explore the industry capabilities of NIL a 150x150 mm flexible stainless-steel substrate was patterned and integrated in an ultrathin CIGS based solar cell. Solar cells patterned, with NIL and EBL, in SLG substrates, presented power conversion efficiency values of 12 %. The flexible device which achieved efficiency values 1 % lower than the ones achieved by the SLG substrates, will be discussed. The mechanical stability of the flexible device was tested by bending tests, retaining its performance after 200 bending cycles. Scanning Transmission Electron microscopy (STEM) of the flexible device is also shown to illustrate the elemental distribution as detrimental elements from the steel substrate may have diffused towards the absorber. Furthermore, we will also showcase fabrication processes where NIL is used to fabricate novel architectures for ultrathin CIGS solar cells that tackle, simultaneously, optical losses through plasmonic effects and rear interface recombination through passivation.

V.3.5
10:45 Q&A session    
11:00 Break    
11:15
Authors : Raluca Tiron
Affiliations : Univ. Grenoble Alpes, CEA, LETI

Resume : DNA nanotechnology have shown great promise for nanopatterning applications thanks to the ability to nanoengineer rationally designed three-dimensional nano-objects of complex shapes with subnanometer precision and high degree of rigidity. Specifically, self-assembled DNA origami allows sub-10 nm pattern transfer of DNA origamis into SiO2 and Si substrates as has been demonstrated. However, to exploit their full potential for lithographic application, the deterministic positioning of the DNA nanostructures on a predefined substrate is still a major challenge to overcome. In this paper, we present a hybrid nanopatterning process by combining top-down patterning of locally chemically modified substrate with bottom-up self-assembly of DNA nanostructures in order to deterministically fix DNA origamis on the substrate and to control their orientation. Chemical contrast is formed using conventional lithography in order to create DNA affine and adverse parts on the substrate. The pattern transfer of the DNA nanostructures in the inorganic under layer is demonstrated as well. The role of process conditions (salt concentration, incubation time, etc.) on the roughness of the resulting surface is thoroughly investigated by using two DNA origami designs as reported in the figure. Thus, DNA origami appears to be a promising emerging approach for the engineering of hard masks for patterning.

V.3.6
11:45
Authors : David Sánchez-Fuentes* (1), R.Rathar (2), L. Picas (2), A. Carretero-Genevrier. (1)
Affiliations : (1) Institut d’Electronique et des Systemes (IES), CNRS, Université de Montpellier, 860 Rue de Saint Priest 34095 Montpellier, France (2) Institut de Recherche en Infectiologie de Montpellier (IRIM), CNRS, 1919 Route de Mende, 34090 Montpellier, France * lead presenter

Resume : At present, research fields such as biology or medicine demands to conciliate the time-consuming and limited access to top-down nanofabrication techniques with cell biology and advance microscopy approaches to address how cells sense and respond to external topography [1].Here we have engineered 1D SiO2 and piezoelectric α-quartz nanopillar arrays of defined sizes and shapes on high-performance coverslips and silicon substrates by soft-gel nanoimprint lithography (soft-NIL) [2], which is a cost-effective, customizable, large-scale fabrication and benchtop equipment-based method. By this novel fabrication of nanostructured substrates, we were able to perform super-resolution microscopy to study how membrane proteins respond to an external topography [3]. In the other hand, vertical quartz pillars were used to fabricate piezoelectric biocompatible nanostructured MEMS with high mass sensitivity [4,5]. These results demonstrate the big potential and versatility of soft-NIL proving its utility in biological applications and opening the door to new piezoelectric quartz biosensors. [1] D. Sánchez-Fuentes et al, Mapping Cell Membrane Organization and Dynamics Using Soft Nanoimprint Lithography. ACS Appl. Mater. Interfaces 2020, 12, 26, 29000–29012. [2] D Sánchez-Fuentes et al. Micro/Nanostructure Engineering of Epitaxial Piezoelectric α-Quartz Thin Films on Silicon. ACS Appl. Mater. Interfaces 2020, 12, 4, 4732–4740 [3] Fatima El Alaoui, Ignacio Casuso, D. Sánchez-Fuentes et al, Structural organization and dynamics of FCHo2 docking on membranes. eLife 2022, 11, e73156 [4] Claire Jolly, David Sánchez-Fuentes et al. Soft chemistry assisted On-chip Integration of Nanostructured quartz-based Piezoelectric Microelectromechanical System. Adv. Mater. Technol. 2021, 6, 2000831. [5] Claire Jolly, David Sánchez-Fuentes et al. Epitaxial Nanostructured α-Quartz Films on Silicon: From the Material to New Devices. J. Vis. Exp;(164), e61766, doi:10.3791/61766 (2020).

V.3.7
12:00
Authors : Greta Merkininkaitė, Darius Gailevičius, Simas Sakirzanovas, Mangirdas Malinauskas
Affiliations : 1. Faculty of Chemistry and Geosciences, Vilnius University, Naugarduko Str. 24, Vilnius LT-03225, Lithuania 2. Femtika, Sauletekio Ave. 15, Vilnius LT-10224, Lithuania 3. Laser Research Center, Physics Faculty, Vilnius University, Sauletekio Ave. 10, Vilnius LT-10223, Lithuania 4. Department of Chemical Engineering and Technology, Center for Physical Sciences and Technology, Sauletekio Ave. 3, Vilnius LT-10257, Lithuania

Resume : 3D micro-/nano-structures enables miniaturization and enhancement of functionalities and integrations of devices for the fields of photonics, microfluidics, micromechanics, microelectronics, and microoptics. Multi-photon lithography (also widely known as two-photon polymerization) as a laser direct writing technique offers flexibility to produce 3D structures for rapid prototyping and additive manufacturing purposes. Recent developments of this ultrafast laser technique supported with the advances in material sciences allows 3D printing of inorganic structures routinely via combination of maskless photopolymerization and thermal post-treatment (pyrolysis or calcination). The current achievements are very promising for technical applications where highly resilient structures (made out inertial materials for extended durability) are required, such as harsh environments, high intensity optics, and open space. In this Presentation the physical and chemical principles for 3D nano-structuring of inorganics employing laser lithography, hybrid and composite materials as well as post-treatment will be presented. The most recent achievements will be highlighted, among them are: sub-100 nm feature fabrication, crystalline nanostructures, resilient, high refractive index and transparent materials [1]. Some prototype micro-optical elements and stacked components with their distinct optical functions will be demonstrated [2]. Specific advances in material sciences and limitations within the context of the emerging trends as well as potential immediate applications will be provided in details. [1] G. Merkininkaitė, E. Aleksandravičius, M. Malinauskas, D. Gailevičius, S. Šakirzanovas, Laser additive manufacturing of Si/ZrO2 tunable crystalline phase 3D nanostructures, Opto-Electron Adv X, 210077 (20XX): 10.29026/oea.2022.210077. [2] D. Gonzalez-Hernandez, S. Varapnickas, G. Merkininkaitė, A. Čiburys, D. Gailevičius, S. Šakirzanovas, S. Juodkazis, and M. Malinauskas, Laser 3D Printing of Inorganic Free-Form Micro-Optics, Photonics 8(12), 577, (2021); 10.3390/photonics8120577.

V.3.8
12:15
Authors : Dmitry Momotenko
Affiliations : Department of Chemistry, Carl von Ossietzky University of Oldenburg, Oldenburg, D-26129, Germany

Resume : Nanoelectrochemical methods rapidly develop into highly versatile and
powerful tools in nanoscience for a variety of applications
from imaging and sensing to nanoscale fabrication. Herein, we present how nanoelectrochemistry opens access to three-dimensional printing for the fabrication of complex features using state-of-the-art nanopipette-based scanning probe techniques. Electrochemical methods are intrinsically advantageous as they allow producing impurity-free metallic conductors with superb electrical and mechanical properties – materials so much needed for many applications spanning electronics, sensing, functional implants, and nanorobotics. But until now true nanoscale resolution (<100 nm) with electrochemical methods remained unattainable. Recently, we managed to overcome this limitation and set new a benchmark in electrochemical 3D printing. [1] By employing nozzles with dimensions as small as 1 nm, we demonstrate layer-by-layer manufacturing of 25 nm diameter voxels. Full control of the printing process allows adjustment of the feature size on-the-fly, printing tilted, and overhanging structures. On the basis of experimental evidence, we estimate the limits of electrochemical 3D printing and discuss the origins of this new resolution frontier.

V.3.9
12:30 Q&A session and closing remarks    

No abstract for this day

No abstract for this day

No abstract for this day


Symposium organizers
Flavio CARSUGHIForschungszentrum Jülich GmbH. Jülich Centre for Neutron Science at MLZ

Lichtenbergstraße 1. D-85748 Garching, Germany

+ 49 (0)89 158860 703
f.carsughi@fz-juelich.de
Francesc PEREZ-MURANOInstitute of Microelectronics of Barcelona (IMB-CNM, CSIC)

C/ dels Til·lers s/n, Campus de la Universitat Autònoma de Barcelona, 08193, Bellaterra, Spain

+34 935947700
Francesc.Perez@csic.es
Ivan MAXIMOVAdvanced Lithography Group, Lund Nano Lab, Division of Solid State Physics

Lund University, Box 118, SE-221 00 Lund, Sweden

+46 46 222 31 85
ivan.maximov@ftf.lth.se
Yasin EKINCILaboratory for Micro and Nanotechnology

Paul Scherrer Institut - Forschungsstrasse 111 - 5232 Villigen PSI, Switzerland

+41 56 310 28 24
yasin.ekinci@psi.ch