preview all symposia

2020 Spring Meeting

Electronics, magnetics and photonics

W

Materials research for group IV semiconductors: growth, characterization and technological developments IV

Group IV semiconductors lie at the heart of many electronic and photovoltaic devices. Major challenges for fundamental research and technological development are no longer confined to bulk silicon, but also to other group IV materials and a wide variety of silicon-based structures, such as alloys, nanostructured and nanocomposite materials, composite systems, thin and thick films, and heteroepitaxy on patterned silicon substrates. Advances in device performance are underpinned by new defect engineering procedures, development of novel growth techniques, and improvements in advanced diagnostic tools. Point and extended defects remain at the center of interest, and in some cases their engineering represents an option for new functionalities (e.g. spintronic devices).

Scope:

Crystal growth

  • Modeling of defect generation and modeling of crystal growth
  • Crystal growth for solar applications
  • Control of carbon, phosphorus and boron in solar grade silicon
  • Growth of group IV alloy crystals
  • Wafering technologies and defect evolution in wafering processes
  • Large diameter crystal growth with emphasis on 450mm diameter wafers
  • Low quality polycrystalline silicon refinement

Nanostructures of/ on group IV semiconductors

  • Layer deposition for electronic and photovoltaic applications
  • Nanocrystalline materials
  • Quantum wires, nanosheet/ nanowire/ gate-all-around transistors, and quantum dots

Heteroepitaxy on silicon

  • Perovskites on silicon for photovoltaic applications
  • Selective epitaxy for advanced electronic applications
  • Strain engineering in strained layer epitaxy
  • Heterogeneous integration of Si or Ge with III-V epitaxial device quality layers
  • Defects at heteroepitaxial merging on patterned Si
  • Epitaxial deposition of nitrides and SiC on silicon substrates
  • Novel methods for the growth of graphene, silicene and germanene on silicon
  • Modelling and simulation of epitaxial structures

Thin layer technology

  • Deposition of amorphous and crystalline thin layers
  • Surface passivation of silicon for photovoltaics
  • Silicon membranes

Basic research on point defects and extended defects

  • Defects causing light induced degradation of solar silicon
  • Vacancy and interstitial related point defect complexes with oxygen, nitrogen, carbon, and hydrogen
  • Complexes of dopants with intrinsic point defects and light elements
  • Diffusivity of impurities and intrinsic point defects
  • Modelling and simulation of extended defects

Gettering and defect engineering

  • Gettering of metallic impurities and impurity precipitation in silicon
  • Interaction of metals with dopants, impurity atoms and extended defects
  • Defect engineered and defect-free silicon wafers
  • Dislocation engineering by substrate and process optimization

Technological applications for group IV semiconductors

  • Thin layer and multilayer solar cells
  • High speed and high frequency electronic devices
  • Power devices
  • SOI and sSOI devices
  • Silicon-based light emitting devices
  • Spintronics
  • Thermo-mechanical systems

Hot topics to be covered by the symposium:

  • Perovskite-on-silicon solar cells.
  • Group IV nanowires.
  • Silicon for high efficiency PV devices.
  • Light emission from silicon-integrated devices.
  • Quasi-mono crystalline silicon for photovoltaic applications.
  • Fabrication, doping and characteristics of two dimensional allotropes.
  • Spintronics.
  • Vertical membranes for FinFETS.
  • Defects at heteroepitaxial merging on patterned Si.
  • Materials for power devices.
Start atSubject View AllNum.
14:00 Symposium opening    
 
Session 1: Wide bandgap Group IV semiconductors and their applications : TBD
14:15
Authors : Satoshi Yamasaki, Toshiharu Makino, Daisuke Takeuchi, Masahiko Ogura, Hiromitsu Kato, and Yukako Kato
Affiliations : Advanced Power Electronics Research Center, AIST, Japan

Resume : Diamond is a characteristic semiconductor among group IV semiconductors. It has high hardness, high thermal conductivity, and high breakdown voltage. Furthermore, It has unique property such as extremely long spin relaxation time of point defects, negative electron affinity (NEA) of hydrogen terminated surface, stable exciton states at room temperature, and wide resistivity range from the insulator to superconductor. Using these characteristic properties, diamond research and development are being progressed. Recently, quantum and power electronics are specially accelerated for actual application. In this talk, we introduce the diamond basic and characteristic properties, and research topics of quantum and power electronics application. We also touch upon the issue of diamond substrate.

W.1.1
14:45
Authors : X. L. Chen, W. J. Wang
Affiliations : 1 Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, P. O. Box 603, Beijing 100190, China 2 University of Chinese Academy of Sciences, Beijing 100049, China 3Songshan Lake Materials laboratory, Dongguan, Guangdong 523808, China 4Collaborative Innovation Center of Quantum Matter, Beijing 100084, China

Resume : The past decade is seeing a worldwide surge of researches on silicon carbide (SiC) and industrialization due to its increasing applications in power electronics and other fields. In this talk, we will start from the growth of quality SiC single crystal by physical vapor transportation, from 2 to 6 inches, focusing on the challenges encountered in decreasing the various defects, enlarging the size, the wafer fabrication [1]. The issues on the growth of SiC crystal by high temperature flux method will be also addressed. Then we will show that how the artificially created C-Si bi-vacancies induce the ferromagnetism and give the evidence that local magnetic moments reside in these vacancies [2]. Furthermore, we demonstrate that 4H-SiC is a potential non-linear crystal that can be used to produce the infrared laser at 3-5𝜇m, an important light band to many applications [3]. Finally, we will talk about the fabrication of graphene on SiC used for FETs and for the catalysts of water splitting [4]. References [1] G. Wang et al., Silicon carbide: A wide-bandgap semiconductor and beyond, SCIENCE 360 (2018) 51-54. [2] Y. Liu, et al Defect-Induced Magnetism in Neutron Irradiated 6H-SiC Single Crystals, Physical Review Letters 106 (2011) 087205. [3] S.C. Wang, 4H-SiC: a new nonlinear material for midinfrared lasers, Laser & Photonics Reviews 7 (2013) 831–838. [4] W. Lu, Bipolar Carrier Transfer Channels in Epitaxial Graphene/SiC Core-Shell Heterojunction for Efficient Photocatalytic Hydrogen Evolution, Advanced Materials 27 (2015) 7986-7991.

W.1.2
15:30
Authors : P.J. Wellmann1), P. Schuh1), M. Kollmuss1), M. Schöler1), J. Steiner1), M. Zielinski2), M. Mauceri3), F. La Via4)
Affiliations : 1) Crystal Growth Lab, Materials Department 6 (i-meet), FAU Erlangen-Nürnberg, Martensstr. 7, D-91058 Erlangen, Germany 2) NOVASiC, Savoie Technolac, BP267, F-73375 Le Bourget-du-Lac Cedex, France 3) LPE S.P.A., Sedicesima Strada, I-95121, Catania, Italy 4) CNR-IMM, sezione di Catania, Stradale Primosole 50, I-95121 Catania, Italy

Resume : A very promising approach to growth bulk 3C-SiC material is based on the application of 3C-SiC seeding layers with a thickness of 10 µm to 50 µm that have been deposited hetero-epitaxially on (100) Si wafers. Subsequent to the Si substrate, the prolongation of the growth of thick bulk-like 3C-SiC using sublimation growth has been recently demonstrated [1]. In order to establish a high supersaturation and a Si-rich gas phase composition, as mandatory for the formation of cubic SiC, the the so called sublimation epitaxy [2] was used. The goal of the paper is to provide the current status for the growth of large are bulk like material with a diameter of ca. 100 mm and a thickness between 0.5 and 1 mm. By the application of computer simulation of the temperature field and gas phase composition, we have designed a growth cell in order to grow free standing 3C-SiC with a diameter of ca. 100 mm. We could already show stable growth of large area 3C-SiC [3]. So far, cracking of the thin seeding layers was the limiting factor of the process. In this paper we report on recent progress to minimize stress related to the mechanical handling during removal of the Si-carrier by wet chemical etching. [1] Schuh, P., et al., Journal of Crystal Growth, 2017. 478: p. 159-162. [2] Syväjärvi, M., et al., Mat.Sci.Forum, 2001. 353-356: p. 143-146. [3] Wellmann, P., et al., accepted Materials Science Forum 2020.

W.1.3
15:30
Authors : Roksolana Kozak1*, Maria Carulla1, Christian David1, Massimo Camarda2
Affiliations : 1 Laboratory for Micro and Nanotechnology, Paul Scherrer Institute, 5232 Villigen, Switzerland; 2 STMicroelectronics, Stradale Primosole 50, 95121 Catania, Italy

Resume : Recently it has been demonstrated [1] that electrical devices based on Silicon Carbide can be used as transparent mode detectors for the real-time in line beam position monitors and intensity monitors of hard X-ray radiation. This material is also promising for quantum sensing [2] and MEMS applications [3]. One of the important steps for the production of such devices is a partial or total removal of thick substrate material (>350 μm) in order to form micro- and nanoscaled membranes confining the active regions of fabricated devices to the high quality, doped controlled, epitaxies. Therefore, the development of doping selective electrochemical processes for the local etching of SiC layers is crucial for design and realization of advanced nanoscale devices. In this work, we present extensive experimental results on the electrochemical etching (ECE) of n-type highly doped (1·1018 cm-3) 365 μm thick SiC layers in Hydrogen Fluoride (HF) based electrolytes using galvanostatic (constant current density, J) and potentiostatic (constant voltage, V) modes. The process control is performed following the corresponding V(t) and J(t) curves, while the membrane thickness is adjusted via the implementation of low doped stopping layers. The structural modifications of etched SiC films at different ECE stages are investigated as a function of HF concentration, current density and electrolyte composition. The optimized recipes allow to reach high etching rates, >2.5 µm/min, with roughnesses lower than 50 nm. The obtained SiC membranes are applicable for the fabrication of in-situ X-ray sensors, in line beam position/ intensity monitors and quantum sensing devices. [1] S. Nida et al. J. Synchrotron Rad. (2019) 26, 28. [2] S. Castelleto et al. Nature Materials (2014) 13, 151. [3] F. Zhao et al. Microsyst. Technol. (2017) 23, 5631.

W.1.4
15:45
Authors : Massimo Zimbone*, Corrado Bongiorno#, Cristiano Calabretta# , Francesco La Via#
Affiliations : *IMM-CNR, via S. Sofia 64, 95123, Catania, Italy #IMM-CNR, VIII Strada, 5, 95121 Catania, Italy MIFT, Università degli studi di Messina, Viale F. Stagno d’Alcontres, 31-98166 Messina, Italy

Resume : In the last few years, silicon carbide is having an enormous interest due to the fabrication of high power and high current devices. The interest is clearly driven by the automotive industry, indeed transition from gasoline to electric vehicles is occurring. The use of 3C-SiC, instead of usual 4H-SiC, can boost this transition. Nevertheless, the 3C-SiC is scarcely mature for the standard required for the microelectronic industry. 3C-SiC has a large number of extended defects such as domain boundaries, dislocations and stacking faults that restrain the usefulness of the material. These defects have a large impact on the market and it could be eliminated only after a deep comprehension of the partial dislocation nucleation and growth mechanisms. In this talk, we present a study regarding the relationship between domain boundaries, stacking faults (SFs) and partial dislocations (PDs). We analyze, by using a high-resolution transmission electron microscopy, the atomic structure of the domain boundary demonstrating that they are Inverted Domain Boundaries (IDBs) in nature. Moreover, we found evidence that partial dislocations (PDs) and the stacking faults (SFs) can be generated by the IDBs. In this work, we use the 3C-SiC poly-type because of its a simpler cubic structure that allows a easier understanding of the dislocations nucleation and growth processes. These processes are discussed in the framework of the disconnection theory.

W.1.5
16:00 Coffee break    
 
Session 2: Hydrogen in silicon : TBD
16:30
Authors : Anastasia Soeriyadi, Bruno Vicari Stefani, Matthew Wright, Brendan Wright, Daniel Chen, Moonyong Kim, Chukwuka Madumelu, Alison Ciesla, Phillip Hamer, Catherine Chan, Brett Hallam
Affiliations : University of New South Wales, Australia

Resume : Commercial crystalline silicon (c-Si) solar cells suffer from defects that arise during crystal growth that can increase recombination loss and reduce efficiency. Hydrogen that is naturally incorporated into the device during cell fabrication can passivate many of these defects. The effectiveness of passivation is greatly enhanced through a better understanding of the passivation mechanisms, including the ability to control interstitial hydrogen charge state to increase its mobility and favour defect passivation. Recently, to improve the performance of c-Si solar cells, the use of passivated contacts employing polycrystalline and hydrogenated amorphous silicon (a-Si:H) layers has become more prominent where the role of bulk hydrogen passivation becomes more important. In this work, we focus on the hydrogenation of silicon heterojunction (SHJ) solar cell structures on p-type commercial-grade c-Si wafers. As the fabrication process is limited to around 250°C, high-temperature hydrogenation must be performed prior to a-Si:H growth while low-temperature post-processing (an advanced hydrogenation process) was tailored. Hydrogenation processes performed either pre-fabrication and/or post-fabrication have shown improvement in the overall performance and stability. We demonstrate stable (free from light-induced degradation and light-and elevated-temperature induced degradation) efficiency improvements of 2.4% and 3% on p-type Cz and p-type multicrystalline SHJ solar cells, respectively.

W.2.1
17:00
Authors : Peter Wilshaw
Affiliations : University of Oxford, U.K.

Resume : No abstract submitted.

W.2.2
17:30
Authors : V. V. Voronkov
Affiliations : Global Wafers Merano Ittaly

Resume : The plasma-induced depth profiles of hydrogen and of non-passivated boron are controlled – in case of a single passivating ion H+ - by the DK parameter – a product of the diffusivity D+ of the H+ ion and the equilibrium dissociation constant K of the HB defect (the passivated boron). But the reported profiles definitely indicate to three independent H+ species, that can be classified by the value of DK at 150oC: H+(1) of DK = 50000, H+(2) of DK = 9000, and H+(3) of DK = 200 (the unit is cm-1s-1). Each H+ ion produces its own HB defect. For a typical depth distribution, the HB(3) are located close to the sample surface, HB(2) – in the middle, and HB(1) – in the tail. This distribution is clearly seen by boron-reactivation in biased diodes due to sweeping away the H+ ions released by HB. In a near-surface region no reactivation occurs since the local HB(3) are of a negligible dissociation rate due to a low DK. The observed reactivation is due to dissociation of HB(2). On the other hand, two independent neutral species Hs (of a low diffusivity) and Hb (of a high diffusivity) are responsible for the production of dimers in near-intrinsic Si. Out-diffusion of tritium isotope in quenched samples also shows two independent hydrogen sub-systems. The deduced hydrogen behavior can be summarized as follows. At high T the dominant hydrogen species are Hb = H0(1) and Hs = H0(2). Upon quenching, the Hb produce mobile IR active dimers H2A while the Hs produce H2C dimers of a low mobility. In plasma-exposed boron-doped samples, HB(2) is converted into stable mobile H2B dimers by H0(2) + HB(2) – a pairing reaction that produces a considerable concentration excess of hydrogen over the doping level. The same reaction is responsible for boron reactivation in non-biased diodes.

W.2.3
17:45
Authors : Alex I. Pointon (1), Nicholas E. Grant (1), Marc Walker (2), John D. Murphy (1)
Affiliations : (1) School of Engineering, University of Warwick, Coventry, CV4 7AL, United Kingdom (2) Department of Physics, University of Warwick, Coventry, CV4 7AL, United Kingdom

Resume : The hydrogen-terminated silicon surface is one of the most important in both the electronics and photovoltaics industries, because it is the starting point for many processing treatments. We have investigated the effects of atomic hydrogen and fluorine termination of silicon surfaces. Injection-dependent effective excess carrier lifetime measurements show clean silicon surfaces can be temporarily passivated by a short treatment in both HF(2%):HCl(2%) and HF(50%) solutions. The lifetime and hence surface passivation becomes better with immersion time in the former; and worse with immersion time in the latter. Interestingly, X-ray photoelectron spectroscopy shows treatment with strong HF solutions results in a fluorine terminated surface, which is also confirmed by atomic force microscopy to be roughened. We have also investigated the effect of subsequent surface passivation on different chemically treated surfaces. Room temperature superacid-derived surface passivation is considerably more effective on surfaces treated with HF(2%):HCl(2%) compared to HF, and again longer treatment times are more effective for the former and less effective for the latter. Surfaces subsequently passivated with Al2O3 grown by atomic layer deposition behave independently of surface treatment and we demonstrate surface recombination velocities of ~ 0.5 cm/s. Our study shows just how important wet chemical pre-treatments can be, particularly in the case of organic passivating layers, and conversely the minimal affect they have on CVD deposition techniques in this case ALD Al2O3.

W.2.4
18:00
Authors : Xiaotong Hou, Xuegong Yu, Deren Yang
Affiliations : State Key Laboratory of Si Materials and School of Materials Science and Engineering, Zhejiang University, Hangzhou 310027, China

Resume : The solar cells based on B-doped Czochralski Si (CZ-Si) suffer from light induced degradation (LID) due to B-O complexes. The most effective method is to replace B by Ga since Ga and O does not form stable Ga-O complexes. However, the ideal segregation coefficient of Ga in Si is 0.008 (B, 0.8), causing a strong resistivity variation along crystal ingots. One solution is to co-doped Ga with B. However, a systematic evaluation on B-Ga co-doping effect in Si is necessary. Specially, metal impurities should be investigated. Iron is one of the most important metal impurities in CZ-Si. However, the passivation behavior of iron-acceptor in B-Ga co-doped CZ-Si has not been yet investigated. In this paper, it is found that H atoms introduced by a piranha solution followed by reverse bias annealing can fully passivate the electric activity of Fe-B and Fe-Ga. However, the passivation effect can be partially deactivated when annealed in 80-200 ? and be reactivated when annealed at 250 ?. The temperature of the highest failure fraction for passivated Fe-B and Fe-Ga are 80 and 200 ?, respectively. The mechanism was discussed that H atoms released from the dissociation of acceptor-hydrogen pairs can reactivate the passivation.

W.2.5
Start atSubject View AllNum.
08:45 PLENARY SESSION 1    
09:45 Coffee break    
 
Session 3: Defects in silicon : TBD
10:00
Authors : Noritaka Usami 1, Kazuya Tajima 1, Hiroaki Kudo 2, Kenta Yamakoshi 1, Takuto Kojima 2, Kentaro Kutsukake 3, and Yutaka Ohno 4
Affiliations : 1 Graduate School of Engineering, Nagoya University, 2 Graduate School of Informatics, Nagoya University, 3 Center for Advanced Intelligence Project, RIKEN, 4 Institute for Materials Research, Tohoku University

Resume : We report on multiscale investigation of grain boundaries in multicrystalline silicon by fusion of experiments and machine learning. Macroscopic three-dimensional visualization of dislocation clusters in a multicrystalline silicon ingot based on reconstruction of a large quantities of two-dimensional photoluminescence images permitted us to extract regions to contain generation points of dislocation clusters. Some regions were further investigated by microscopic PL imaging, electron back-scattering pattern analysis, optical microscope imaging of etch-pits, and transmission electron microscopy. As a result, we revealed that dislocations were generated nearby a triple point of grain boundaries. In addition, transfer learning of convolutional neural network was utilized to classify sub-photoluminescence images of 16 x 16 pixels to regions with or without generation points of dislocations. It was found that the most of the images, which categorized to have generation points of dislocations, contain grain boundaries with complex structures.

W.3.1
10:30
Authors : Bondarenko, A.S.(1), Trushin, M.V.(2), Vyvenko, O.F.(1)
Affiliations : (1) St. Petersburg State University, Russia (2) Petersburg Nuclear Physics Institute, Russia

Resume : In crystalline semiconductors extended defects, in contrast to point ones, are necessarily surrounded by deformation field, the source of attractive potential for charge carriers. Experimentally attractive potential reveals in giant Poole-Frenkel effect first detected for shallow dislocation-related charge carrier traps [1]. Another peculiar feature of extended defects is intrinsic electric charge, which depends on the occupation of the trap, and therefore may change dynamically. While the influence of this intrinsic charge on charge carrier capture is well known, it is often neglected in consideration of charge carrier emission. Recently we have shown [2] that the Poole-Frenkel effect caused by the electric field of dislocation intrinsic charge may explain the so-called “band-like” behavior [3] observed in DLTS spectra of platelet metallic precipitates in silicon. In present work we use our model to simulate charge carrier emission from dislocation-related electronic states depending on the external electric field for dislocation with perfect and dissociated cores with diverse initial occupancy degree. The simulations are compared with the experimental results obtained on directly bonded silicon wafers with a flat dislocation network at a known distance from the wafer surface at the bonding interface. [1] M. Trushin, O. Vyvenko, et.al., J. Phys.: Conf. Ser. 281, 012009 (2011) [2] A. Bondarenko, O. Vyvenko, J. Electron. Mater., 47(9), 4975–4979 (2018) [3] W. Schröter, et. al., Phys. Rev. B, 52(19), 13726-13729 (1995)

W.3.2
10:45
Authors : Danilov D.V. (1), Vyvenko O.F. (1), Sobolev N.A. (2)
Affiliations : (1) Saint-Petersburg State University, Russia; (2) Ioffe Institute, Russia

Resume : The conventional approach to control the process of oxygen precipitate (OP) growth in silicon is multi-stage heat treatments [1]. An important step of that is the short 1000 ˚С pre-anneal which is known to affect greatly the final OP size distribution [2] independent on the wafer thermal prehistory. Our previous TEM investigations of oxygen-implanted and multi-stage annealed silicon [3] did not reveal any noticeable differences of the defect structure of the sample with and without the 1000 ˚C pre-anneal. Here we report about drastic differences in the electrophysical properties of two such kinds of the samples despite the similarity of their defect structure. For the experiment two n-Cz-Si oxygen-implanted silicon wafers were subjected to three-stage annealing (S3) and four-stage one (S4), which included short 1000 ˚С pre-annealing. Fabricated P+n junctions were characterized using dc current (IV), capacitance (CV), ac conductance (GV) vs voltage and DLTS measurements at T=50-300K. A drastic difference in the embedded positive charge as well in IV and GV properties of S3 and S4 samples was registered. In particular, while GV of S4 sample exhibited by a step-like increase with an increase in the reverse bias voltage the S3 diode was leaky. Detailed description and discussion of the origin of the observed features will be presented at the conference. [1] K.F. Kelton, R. Falster, et al., J Appl Phys, 85 (1999) 8097-8111. [2] J. Robert, R. Falster, et al., Solid State Phenom., 123 (1997), 57-48. [3] D. Danilov, O. Vyvenko, et al., Phys. Status Solidi C, 14 (2017) 1700114.

W.3.3
11:00
Authors : De Guzman, J.A.T. (1), Markevich, V.P.(1), Halsall, M.P.(1), Lastovskii, S.B.(2), Murin, L.I.(2), Peaker, A.R.(1)
Affiliations : (1) Photon Science Institute and School of EEE, the University of Manchester, UK; (2) Scientific-Practical Materials Research Center of NAS of Belarus, Minsk 220072, Belarus*

Resume : The phenomena associated with light-induced degradation in silicon solar cells containing boron and oxygen impurity atoms (BO-LID) have been linked recently with structural transformations of the defect consisting of a substitutional boron atom and two interstitial oxygen atoms - BsO2 (M. Vaqueiro-Contreras et al., J. Appl. Phys. 125, 185704 (2019)). It has been argued that the BsO2 complex is a defect with negative-U properties. Depending on the position of the Fermi level in p-type Si, the defect could either be a deep donor or be in one of two configurations with shallow acceptor levels. Transformations between the configurations can be monitored in p-type Si samples with junction capacitance techniques. In the present work, we report results of a study of transformations of the BsO2 defect between different configurations in n+ p diodes fabricated from Si:B+O materials with different boron concentrations. The transformations have been initiated either by minority carrier injection (MCI) by forward biasing he diodes (BO degradation) or by increasing the temperature of the diodes above 140 ºC after the MCI treatments (BO annealing). The values of energy barriers for the forward and back processes have been determined and compared with those available in literature for BO-LID. Mechanisms of the BsO2 reconfiguration processes have been elucidated.

W.3.4
11:15
Authors : X. C. Chen, L. Li, G. X. Yang, X. Q. Liu, G. Zeng, Y. L. Pang and Y. Jian
Affiliations : Institute of Nuclear Physics and Chemistry, China Academy of Engeering Physics, Mianyang 621900, China

Resume : In the nuclear reactor environment incident neutron accompanied with gamma-rays degrades the electrical and optical properties of silicon devices. Understanding the radiation-induced bulk damage is important in developing new hardening techniques as well as predicting the device performance where atomic collision cascades and electronic excitation occur simultaneously. Observed in Si, Ge, SiC, and III-V semiconductors, ionization/recombination induced defect recovery is an intriguing phenomenon. The pre-existing lattice defect transfers the electronic energy deposited by ionization and/or recombination into atomic motion promoted by the interplay among defect, electron and phonon. However, limited by works both computationally and experimentally, the mechanism of the energy exchange between electrons and atoms is less understood. In this study, we present the direct observation of gamma-rays assisted annealing of divacancies in silicon by deep level transient spectroscopy. The 14-MeV-neutron-irradiated npn transistors exposed to 0.02 Gy/h cobalt-60 gamma-rays at room temperature reveal a largely reduced number of divacancies, whereas without gamma-ray exposure the concentrations of divacancies remain unchanged even after thermal annealing up to 150 ℃. The activation enthalpy of ionization/recombination-enhanced divacancy migration is extracted from our annealing experiments and is discussed in terms of Weeks-Tully-Kimerling theory.

W.3.5
11:30
Authors : A. A. Grigorev, A. Galeckas, H. M. Ayedh, E. V. Monakhov
Affiliations : University of Oslo, Department of Physics/Center for Materials Science and Nanotechnology

Resume : In the present work, we have investigated the effect of palladium Schottky contacts on the radiation-induced defects in Czochralski-grown silicon (Cz-Si) by deep level transient spectroscopy (DLTS). The samples were made from n-type Cz-Si substrates of 5–10 Ω.cm resistivity, with the doping concentration 7E14 cm-3 obtained from capacitance-voltage (C–V) measurements. Palladium Schottky contacts were applied by electron beam physical vapor deposition. We irradiated the samples with 1.9 MeV protons; the dose is 1.25E12 cm–2 that allows the reliable use of DLTS. After irradiation, the samples were annealed isothermally at 300 °C for prolonged durations. We observed formation of a DLTS peak with the position Ec–0.17 eV in the band gap. The growth in the concentration correlates with annealing of the vacancy–oxygen complex (VO) created upon irradiation. The depth profiling measurements show a gradient over the depth, where the VO concentration decreases towards the surface while the concentration of the Ec–0.17 eV peak increases. This suggests diffusion from the surface. Nickel and silver Schottky diodes were also prepared and subjected to the same procedure. The Ec–0.17 eV peak, however, was not observed that indicates involvement of palladium in formation of the center. The possible nature of the defect and mechanisms of formation are discussed.

W.3.6
11:45
Authors : Hyunsu Shin, Hwa-yeon Ryu, Seran Park, Dae-hong Ko
Affiliations : Department of Material Science and Engineering, Yonsei University, Korea.

Resume : In recent years, researchers have attempted to improve the operational efficiency and speed of transistors. Strain engineering of the source and drain is one such approach that has been applied to increase carrier mobility of 65-nm nodes. For n-type MOSFETs, the highly phosphorus-doped silicon source/drain has received significant research interest because phosphorus has a smaller atomic radius than silicon atoms and can be incorporated at silicon substitutional sites. This combination induces tensile strain on the channel, thereby improving carrier mobility. Moreover, several studies have achieved low specific contact resistivities by utilizing laser annealing to activate phosphorus. However, most of the studies regarding highly phosphorus-doped silicon have been conducted on (100) silicon substrates. In 3D devices such as FinFETs and gate-all-around FETs, the surface of diamond shaped source/drain are (111) surfaces. Therefore, we will illustrate the behavior of the microstructures of highly phosphorus-doped silicon on (111) substrate samples before and after nanosecond laser annealing. The microstructures were observed using high-resolution transmission electron microscopy. We also measured the active phosphorus concentration by employing the Hall effect measurement method. After laser annealing, the number of defects in the highly phosphorus-doped silicon decreased, whereas the active phosphorus concentration increased.

W.3.7
12:00
Authors : Paul Dumas [1] ; Julien Borrel [1] ; Nicolas Guitard [1] ; Fanny Hilario [1] ; Alexis Gauthier [1] ; Héloise Tupin [1] ; Laurent-Renaud Clément [1] ; Vincent Lu [2] ; Wei Zhao [2] ; David Zou [2] ; Edwin Arevalo [2] ; Sébastien Duguay [3] ; Didier Blavette [3]
Affiliations : [1] STMicroelectronics Crolles, France [2] Applied Materials Gloucester, MA, USA [3] Université de Rouen, GPM, UMR CNRS 6634, France

Resume : SiGe Heterojunction Bipolar Transistors (HBT) are required for high frequency applications such as 5G communications. For such devices, minimizing both the base-collector capacitance and the collector resistivity is crucial and is achieved by implanting phosphorus at ~ 100 keV with a dose ~ 10^14 cm-2. In this study, in order to suppress both phosphorus diffusion and extended defects upon annealing, carbon is co-implanted. A buried amorphous layer is induced by implantation and an unusual carbon redistribution is observed after annealing where the two advancing interfaces meet in recrystallized Si. Using TCAD environment, this peculiar distribution is only fitted when using both a Kinetic Monte Carlo approach and assuming a carbon snowplow effect at the two migrating amorphous-crystalline interfaces during Solid-Phase-Epitaxial-Regrowth (SPER). However, carbon profiles obtained experimentally at different SPER times do not show any movement of carbon atoms during SPER, rejecting the snowplow assumption. Carbon distribution being correlated with the presence of extended defects but not occurring during SPER indicates a segregation in the form of Cottrell atmospheres at these defects. As carbon only acts as a decoration on these defects, an amorphous layer which extends to the surface is needed to remove them. This is achieved by using cryogenic carbon implantation. Still, another type of defects is found in recrystallized Si whose origin has to be clarified.

W.3.8
12:15 Lunch    
 
Session 4: Quantum dots and optical properties : TBD
14:00
Authors : Jonathan G. C. Veinot, Alyxandra N. Thiessen, Lijuan Zhang, Al Meldrum,
Affiliations : Department of Chemistry, University of Alberta, Edmonton, Alberta, Canada; Department of Chemistry, University of Alberta, Edmonton, Alberta, Canada; Department of Physics, University of Alberta, Edmonton, Alberta, Canada; Department of Physics, University of Alberta, Edmonton, Alberta, Canada

Resume : Silicon quantum dots (SiQDs) display optoelectronic properties and biocompatibility that make them desirable for biological imaging, sensing, and LED applications.1 These materials however have been plagued by low quantum yields and broad FWHM that limit their application in these areas.2 It is known that the structure of these nanomaterials influences their optical, chemical and material properties, therefore, it is important to understand the structure of these materials to optimize them for various applications.3 To study the structure of these materials, we employed a combination of 29Si solid state NMR, FTIR, XPS, XRD and TEM to analyse the structure of the materials ranging from 3 to 64 nm in diameter.4 We found that the H-SiQDs consist of a size dependent layered structure made up of surface, subsurface and core silicon species. Using this knowledge, we examined two structurally similar SiQDs that exhibited different optical properties to understand the interplay between the structure and the photoluminescence. The insight this work gives into the relationship between the internal structure and the photoluminescent properties could assist in solving some of the current challenges with regard to the optical response of these materials. References: 1. S. Bhattacharjee, I. M. C. M. Rietjens, M. P. Singh, T. M. Atkins, T. K. Purkait, Z. Xu, S. Regli, A. Shukaliak, R. J. Clark, B. S. Mitchell, G. M. Alink, A. T. M. Marcelis, M. J. Fink, J. G. C. Veinot, S. M. Kauzlarich and H. Zuilhof, Nanoscale, 2013, 5, 4870-4883. 2. M. L. Mastronardi, F. Maier-Flaig, D. Faulkner, E. J. Henderson, C. Kübel, U. Lemmer and G. A. Ozin, Nano Lett., 2012, 12, 337-342. 3. R. Anthony and U. Kortshagen, Phys. Rev. B, 2009, 80, 115407 4. A. N. Thiessen, M. Ha, R. W. Hooper, H. Yu, A. O. Oliynyk, J. G. C. Veinot, V. K. Michaelis, Chem. Mater., 2019, 31, 678-688

W.4.1
14:30
Authors : Seiichi Miyazaki, Katsunori Makihara
Affiliations : Department of Electronics Graduate School of Engineering Nagoya University Furo-cho, Chikusa-ku, Nagoya, 464-8603, Japan

Resume : Light emission from Si-based nanostructures including quantum dots (QDs) has stimulated a considerable interest in the field of Si-based photonics because of it potential to combine photonic processing with electronic processing in a single chip. In this presentation, our recent achievements on electroluminescence (EL) of multiple stack Si-QDs with Ge core (Si/Ge QDs) on ultrathin SiO2/c-Si(100) have been reviewed. Based on a fundamental understanding of light emission mechanism caused by alternate injection of electrons and holes to QDs, we have designed and fabricated diode structures with double stack Si/Ge QDs showing clear rectifying properties, and demonstrated EL peaked at 0.83eV with application of pulsed bias over ~2V in the forward direction. The result indicates that, in addition to radiative transitions between higher ordered quantized states in Ge core, the direct gap transition in Ge core may involve in the observed EL. To retard electrons flowing out through QDs, we also fabricated a hybrid stack consisting of very highly dense layer of relatively small coreless Si-QDs and Si/Ge QDs. As a result of this approach, the threshold voltage for EL was successfully reduced down to ~1V. In a diode structure of multiple stack Si/Ge QDs formed on a line-patterned Si waveguide with a bottom tunnel oxide layer, a significant narrowing of emission energy width of EL in the direction parallel to the waveguide was observed under forward pulsed bias conditions.

W.4.2
15:00
Authors : Hao Shen, Yuhan Gao, Deren Yang, Dongsheng Li
Affiliations : State Key Laboratory of Silicon Materials and School of Materials Science and Engineering, Zhejiang University, Hangzhou 310027, P.R. China

Resume : rbium compounds, especially Er chloride silicate, have been attracting much attention in silicon-based light source because of their higher optical active erbium concentration and long carrier lifetime. To data, the excitation of Er3+ in Er chloride silicate is limited by the small absorption cross section of Er ions, and normally the high power and special wavelength lasers are prerequisite. In this study, we report the efficient luminescence of Er chloride silicate nanocrystal via interparticle energy transfer for the first time. The photoluminescence spectra using a non-resonant wavelength laser show that the Si nanocrystal (Si NC) transfer excitonic energy to Er chloride silicate nanocrystal in Er-doped Si-rich silicon oxide. The interparticle energy transfer between semiconductor and erbium compound nanocrystals offers some important insights into the realization of efficient light emission and chip-scale optical amplifiers for silicon-based integrated photonics.

W.4.3
15:15
Authors : Raúl Zazo, Antonio Mariscal Jiménez, Ivan Camps, Pilar Gómez-Rodríguez, R. Peláez, J. Gonzalo, Rosalía Serna, Jan Siegel
Affiliations : Laser Processing Group, Instituto de Optica, IO,CSIC , Madrid 28006, Spain

Resume : Silicon Oxynitrides are highly versatile because their composition can be varied from oxygen‐rich compositions, close to that of SiO2, to a nitrogen‐rich composition, close to that of Si3N4, which enables control of their optical and electrical performance. Among them, silicon oxynitride phosphors based on so-called SiAlONs have widely been investigated when doped with Eu2+ for green color conversion, field emission lamps and display applications. Moreover, these materials show excellent thermal stability and durability against moisture. Usually these silicon-based materials are synthetized by chemical methods in powder configuration to be used as phosphors. However it is desirable their development in thin film configuration in order to build white light solid state silicon-based light emitting devices. Previously, we have reported the successful growth of amorphous SiAlON-Eu doped thin films prepared by pulsed laser deposition, and their photoluminescence (PL) and cathodoluminescence (CL) properties [1,2]. Upon photon and electron excitation, films showed light emission dominated by a spectral band covering the whole visible (VIS) wavelength range that is associated to the Eu2+ 5d-to-4f electronic transition [1,2]. However, to achieve such emission furnace annealing treatments at temperatures in the range of 600-700 ºC were needed to reduce defects in the films and enhance PL efficiency. In this work we demonstrate the achievement of efficient PL broadband emission after ns-pulsed laser annealing in localized areas of the SiAlON-Eu doped films. Laser irradiation is performed with an ArF excimer laser. We are able to achieve a higher PL intensity after laser irradiation than with conventional furnace annealing, and succeed to suppress unwanted narrowband Eu3+ emission. We will discuss the effect of the laser pulse energy and number of pulses on the PL intensity enhancement and the involved underlying associated physical mechanisms. [1] I. Camps, A. Mariscal, and R. Serna, J. of Lumin. 191, 97(2017). [2] I. Camps, A. Mariscal and R. Serna, Phys. Stat. Solidii 215, 1800260 (2018).

W.4.4
15:30
Authors : Ashish Prajapati*, Gilad Marko*, and Gil Shalev**†
Affiliations : *School of Electrical & Computer Engineering, Ben-Gurion University of the Negev, POB 653, Beer-Sheva 8410501, Israel **The Ilse-Katz Institute for Nanoscale Science & Technology, Ben-Gurion University of the Negev, POB 653, Beer-Sheva 8410501, Israel. †Corresponding author. E-mail address: glshalev@bgu.ac.il (G. Shalev).

Resume : Light trapping and the broadband absorption of the solar radiation is of interest to various solar energy harvesting applications. In the current work, we report a new paradigm for light trapping, that is light trapping based on arrays of subwavelength nonimaging light concentrators (NLCs). We numerically show that silicon NLC arrays provide >75% broadband absorption enhancement of the solar radiation compared with that of optimized nanopillar arrays. The paper focuses on free-floating arrays of subwavelength compound parabolic concentrators (henceforth CPC arrays) as a case study. The calculations reveal that CPC arrays function as anti-transmission layers as only few photons transverse the CPC arrays which is in contrast to nanopillar arrays that function as anti-reflection layers. We show that the absorption enhancement in CPC arrays is due to efficient occupation of Mie modes which is motivated by the unique CPC geometry, and we demonstrate light trapping at the Yablonovitch limit. Finally, we examine the performance of a photovoltaic cell based on CPC arrays with respect to base doping levels and surface recombination. We show that the short-circuit current density of the CPC-based cell is >75% higher than the short-circuit current density of a photovoltaic cell based on optimized nanopillar arrays. We believe that light trapping based on NLC arrays paves the way to various applications such as ultra-thin photovoltaic cells.

W.4.5
15:45
Authors : P. Scharoch (a), M.P. Polak (b), N. Janik (a), and R. Kudrawiec (a)
Affiliations : (a) Department of Semiconductor Materials Engineering, Wroclaw University of Science and Technology, Wybrzeże Wyspiańskiego 27, 50-370 Wrocław, Poland (b) UW-Madison, Wisconsin, Department of Materials Science and Engineering 1509 University Avenue Madison, WI 53706

Resume : Germanium is a leading candidate material to be integrated with Si technology for optoelectronics. As an indirect band gap semiconductors requires the band structure engineering. Since prediction is of crucial meaning the ‘ab initio’ calculations are the method of choice. The extended state-of-the-art DFT studies have been performed, of the effect of alloying with group IV elements in the full composition range [1] (Sn), and in the dilute regime [2] (Pb in particular). The effect of strains has been also studied extensively, showing new opportunities [3]. The effects of crystal structure (ZB vs WZ) and ordered alloying (layered structures) have been also considered. The results are widely discussed in the context of available experimental data. The indirect-direct band gap crossovers have been precisely identified. It has been predicted that combining the alloying (also many components), and the strains opens large perspectives for the electronic structure formation. [1] M.P. Polak, P. Scharoch, R. Kudrawiec, 2017. The electronic band structure of Ge1-x Snx in the full composition range: indirect, direct, and inverted gaps regimes, band offsets, and the Burstein–Moss effect. Journal of Physics D: Applied Physics 50, 195103 [2] M. Polak, P. Scharoch, R. Kudrawiec, Isovalent doping of group IV semiconductors, (submitted) [3] N. Janik, P. Scharoch, R. Kudrawiec, Towards band gap engineering via biaxial and axial strain in group IV systems (submitted)

W.4.6
16:00
Authors : Christopher A. Broderick, Eoin P. O'Reilly
Affiliations : Tyndall National Institute, University College Cork, Lee Maltings, Dyke Parade, Cork T12 R5CP, Ireland and Department of Physics, University College Cork, College Road, Cork T12 YN60, Ireland; Tyndall National Institute, University College Cork, Lee Maltings, Dyke Parade, Cork T12 R5CP, Ireland and Department of Physics, University College Cork, College Road, Cork T12 YN60, Ireland

Resume : A key requirement for the development of Si photonics is the realisation of group-IV active photonic components which are compatible with established CMOS infrastructure. However, the indirect fundamental band gaps of Si and Ge present a significant challenge. This has driven recent efforts to engineer the electronic structure of group-IV materials to realise CMOS-compatible direct-gap semiconductors. While conventional diamond-structured Ge is indirect-gap, when grown in the metastable lonsdaleite (hexagonal diamond) crystal structure Ge is direct-gap. The narrow band gap of lonsdaleite Ge can be increased via Si incorporation to form the lonsdaleite SiGe alloy, with the alloy becoming indirect-gap for Si compositions > 30%. Recent advances in materials growth have allowed fabrication of high-quality lonsdaleite SiGe nanowires, with room temperature light emission observed experimentally. To develop the understanding of lonsdaleite group-IV semiconductors we undertake a systematic theoretical investigation of the electronic and optical properties of lonsdaleite SiGe alloys. Using density functional theory we compute the electronic and optical properties of alloy supercells across the full composition range, and apply zone unfolding to investigate the nature and evolution of the alloy band gap and direct- to indirect-gap transition. Our results provide fundamental insight into this new semiconductor alloy, and quantify its potential for applications in light-emitting devices.

W.4.7
16:15 Coffee break    
 
Poster session I : John Murphy, Chioko Kaneta, Gudrun Kissinger, Deren Yang
16:30
Authors : Chun-Lin Chu and Shyong Lee
Affiliations : Taiwan Semiconductor Research Institute, NARL, Hsinchu, Taiwan and Department of Mechanical Engineering, National Central University, Taoyuan County, Taiwan

Resume : Horizontally stacked pure-Ge nanosheets gate-all-around field effect transistors (GAA FETs) are demonstrated. In this device process, we intentionally grow large mismatch Ge/Si multilayers rather than Ge/GeSi multilayers as the starting material, because the large difference of material properties between Ge/Si is beneficial to the selective etching process. In order to avoid island growth, the flat Ge/Si multilayers are grown at a low temperature. Due to the excellent selective etching, the shape of Ge nanosheets almost keeps unchanged after etching. Additionally we found the dislocations in suspended Ge nanosheets, because there is no Ge/Si interface, the dislocation line tension force due to elongation of misfit disolcation at the interface will disappear accordingly. When an annealing process is performed on suspended Ge nanosheets, glide force will be >> dislocation line tension force. In this condition plus small size of nanosheets, the dislocations can be removed out very easily. Based on this structure, new mechanism of dislocation removal in suspended Ge Nano-sheets structures by annealing. It that has excellent gate control and electrical properties can be fabricated.

W.P1.1
16:30
Authors : Jean-Michel Hartmann, Marvin Frauenrath, Jérôme Richy, Marc Veillerot
Affiliations : University Grenoble Alpes and CEA-LETI, Grenoble, France

Resume : In-situ boron doping of Si and Ge can be of use in various types of devices. Poly-Si:B gates can be integrated in pMOS transistors, Si:B-Si-Si:P junctions in RF switches, attenuators, Photo-Detectors, phase shifters and so on. Ge:B layers can be used in the sources and drains regions of Ge pMOSFETs or as the p-type films in Ge p-i-n PDs. If the growth temperature is low enough, they can even be integrated in GeSn PDs or LEDs. We have thus explored the boron-doping of Si and Ge with Si2H6+B2H6 and Ge2H6+B2H6. Such chemistries enable Si (Ge) epitaxy at temperatures definitely lower than conventional SiH4 (GeH4) precursors. Growth temperatures and pressures in our 200mm RP-CVD tool were 550°C, 20 Torr (Si) and 350°C, 100 Torr (Ge). XRD was used to convert the tensile strain in Si:B (Ge:B) layers into substitutional B concentrations, while SIMS gave us access to the atomic B concentration. As far as Si:B is concerned, a huge Growth Rate increase (from 9.5 up to 39.8 nm min.-1) was evidenced when adding relatively small amounts of B2H6 to Si2H6. Ultra-high substitutional and atomic boron contents were obtained in those Si:B layers (at most: 2.7E20 and 1.1E21 atoms cm-3). For higher B2H6 flows, films became poly-cristalline. The same kind of GR increase (from 5.8 up to 20.8 nm min.-1) and really high substitutional B concentrations (at most: 4.8E20 atoms cm-3) were otherwise obtained for Ge:B. Such concentrations are several times higher than with mainstream chemistries.

W.P1.2
16:30
Authors : Motoharu Taniguchi, Koji Sueoka, Masataka Hourai
Affiliations : Graduate School of Engineering Okayama Pref. Univ. , Okayama Pref. Univ. ,  SUMCO CORPORATION

Resume : In Si crystal growth using the Czochralski (CZ) method, doping of nitrogen (N) atoms at a concentration of about 1014 atoms/cm3 reduces the size of void defects. Therefore, N-doping technology is commonly used to improve the quality of Si wafers. However, the mechanism behind the effect of N-doping on the point defects (vacancy V and self-interstitial Si I) during Si crystal growth remains unclear. Specifically, it is confusing that the N-doping increases the total concentration of V at about 10% yet also widens the defect-free region and reduces the size of void defects. In this study, first principles calculation was performed to investigate the effect of N-doping on the intrinsic point defect behavior during Si single crystal growth. N atoms were placed at various interstitial positions or a substitutional position in a 64-atom Si supercell to determine the most stable position for N atoms. In addition, V or I was arranged around the N atom using Sueoka et al.’s method and the effect of N on the formation energy of point defects was investigated. The number of point defects incorporated at the melt/solid interface was calculated from the results. The main results are as follows: (1) There are three stable positions of single N atom in Si crystal: [161] D-site, B-site, and Sub-site. The [161] D-site is the most stable. (2) The formation energy of both V and I is reduced by N doping. (3) During the geometry optimization, some interstitial N atoms near V move to the substitution position, while some substitutional N atoms near I move to the interstitial position. We will also discuss the thermal equilibrium concentration of point defects at the symposium.

W.P1.3
16:30
Authors : Hao-Hsiang Jia1, Cheng-Maw Cheng2, Ming-Chang Chen1, Chih-Wei Luo3, Lin, Ping-Hui2
Affiliations : 1Institute of Photonics Technologies, National Tsing Hua University, Hsinchu, Taiwan 2National Synchrotron Radiation Research Center, Hsinchu, Taiwan 3Department of Electrophysics, National Chiao Tung University, Hsinchu, Taiwan

Resume : We present the ultrafast dynamics and relaxation of the hot carriers after optical photoexcitation in kish graphite. The combination of angle-resolved photoemission spectroscopy (ARPES) and pump-probe scheme provides a unique tool to study the out-of-equilibrium evolution of the band structure of materials with temporal, momentum and energy information in one experiment. Utilizing Tr-ARPES, we are able to investigate the hot carrier population re-distribution pathway and to further discuss the dynamic behavior in the high quality single crystal graphite.

W.P1.4
16:30
Authors : M. Frauenrath, P. Acosta-Alba and J.M Hartmann
Affiliations : Univ. Grenoble Alpes, F-38000 Grenoble, France and CEA, LETI, Minatec Campus, F-38054 Grenoble, France

Resume : Alloying Ge with high enough amounts of Sn (> 8%, typically) results in an indirect-to-direct bandgap transition and efficient light emission properties. p-i-n junctions and thus doping will be necessary to use GeSn in electrically pumped lasers operating at room temperature. In-situ doping of GeSn will have to occur at temperatures in the 300°C – 350°C range to avoid Sn segregation/precipitation in high Sn contents layers. N-type and p-type in-situ doping of GeSn has already been achieved, with carrier concentrations typically less than 1E20 cm-3, however. We recently succeeded in obtaining high substitutional B and P contents (~ 4.5E20 cm-3 and > 1E20 cm-3) in Ge:B and Ge:P layers grown at 350°C, 100 Torr with Ge2H6 and B2H6 or PH3 on Ge buffers. In this study, we investigate how to achieve carrier concentrations beyond 1E20 cm-3 when adding SnCl4 to the growth mixture (the other deposition parameters stay unchanged). Structural and electrical characterization of the as-grown GeSn:B and GeSn:P layers is performed by XRD, AFM and four points probe measurements. The addition of B2H6 has been shown in literature to reduce the Sn content. This is also investigated here over a broader range of B2H6 flows. We have shown before that, for Ge:P, the formation of defect complexes led to a saturation of the active carrier concentration. To overcome this limitation, ultraviolet nano-second laser annealing of GeSn:B and GeSn:P is for the first time performed in our SCREEN-LASSE tool.

W.P1.5
16:30
Authors : Garvey, S. * (1), Holmes, J.D. (1, 2), Long, B. (1, 2).
Affiliations : (1) School of Chemistry & AMBER Centre, University College Cork, Cork, T12 YN60, Ireland. (2) Tyndall National Institute, University College Cork, Cork, T12 R5CP, Ireland.

Resume : Several wet chemical procedures have been developed for the passivation of planar Ge; however, with technology roadmaps predicting the development of tightly pitched, high aspect ratio structures, it is imperative that vapour-phase alternatives be developed. Using a vapour-phase approach allows for the passivation of nanostructures which would otherwise be destroyed by the capillary forces experienced during wet processing. In this study, vapour-phase passivation of planar Ge using a self-assembled monolayer (SAM) of short-chain, aliphatic thiols has been demonstrated and shown to be more stable when compared with its wet chemical equivalent. Also, the reaction time for the passivation of Ge using a SAM is reduced from the 24 hours (as is standard in literature) to 200 minutes which is a critical consideration for industry applications. The reason for the degradation of the SAM and subsequent oxidation of the Ge surface is also outlined. Ultimately, a route for the vapour-phase passivation of Ge which would also be applicable to nanostructures is shown. X-ray photoelectron spectroscopy (XPS) and water contact angle (WCA) analysis are used to assess the quality of the passivation while atomic force microscopy (AFM) shows that the integrity of the surface is not compromised during the processing.

W.P1.6
16:30
Authors : Zhaoye Wang, Guozhi Hou, Wei Li, Jun Xu*, and Kunji Chen *junxu@nju.edu.cn (Corresponding author: Jun Xu) Zhaoye Wang, Guozhi Hou contributed equally to this work.
Affiliations : National Laboratory of Solid State Microstructures and School of Electronic Science and Engineering, Nanjing University, Nanjing 210093, China

Resume : With the continuous development of semiconductor technology, the silicon based thin films have attracted an increasing attention in applications of optoelectronic devices. Among them, the one-dimensional silicon based micro-cavity structure, with the advantages in simple preparation process and low fabrication cost, exhibits great potential in various fields, such as solar thermal photovoltaic (STPV) selective filter, tunable laser, enhanced light detector, fiber optic communication, etc. In the present work, we numerically and experimentally studied the micro-cavity containing SiNxOy/SiNz stacked structures to get the tunable and selective optical filter in infrared light region. By increasing the number of layers, the FWHM(full width at half maximum) becomes narrower, yet the value of transmittance peak at designed central wavelength of 2 μm decreases. In order to enhance the spectral sensitivity, we added an outermost antireflection layer with a specific thickness. It is found that there is a 29% increase for the transmission peak, maintaining the narrow band transmittance. Correspondingly, we fabricated this SiNxOy/SiNz filter structure in PECVD system, and it shows the enhanced spectral selectivity at 2 μm experimentally. Furthermore, this selective optical filter exhibits an excellent thermal stability that can survive high temperature annealing above 1073K. We acknowledge the financial support of National Natural Science Foundation of China (No. 61735008) and National Key R&D Program of China (2018YFB2200101).

W.P1.7
16:30
Authors : Biliuk A.A. (1)*; Semchuk O.Yu. (1); Sementsov Yu.I. (1); Pylypova O. V. (2); Evtukh A. A. (2).
Affiliations : (1) O. O. Chyiko Institute of Surface Chemistry NAS of Ukraine, Kyiv, Ukraine. (2) В.Е. Lashkaryov Institute of Semiconductor Physics NAS of Ukraine, Kyiv, Ukraine.

Resume : In recent years, more and more attention has been paid to the development of methods for forming and researching nanocomposite structures consisting of nanocrystals of metals and semiconductors embedded in thin polymer films. Such composites are already used to make photovoltaic converters of solar energy and various electroluminescent devices. In this regard, thin films of polymer composites based on organic polymer with the addition of silicon nanoparticles are of particular interest. In this work, we synthesize and study the properties of nanocomposite films based on organic polymer with incorporated porous silicon nanoparticles. As the polymer will be used poly-3,4-ethylenedioxythiophene (PEDOT). The porous silicon nanoparticles (por-Si) will be obtained by mechanical grinding of porous silicon obtained by electrochemical etching of slabs of weakly doped silicon p-type. The effect of silicon nanoparticles on the optical properties (absorption, reflection, transmission) and the efficiency of the conversion of solar energy into PEDOT-based thin films are investigated. The energy conversion properties of a conventional film with a film in which porous silicon nanoparticles are incorporated are compared. The use of silicon nanoparticles has been shown to improve the efficiency of the conversion of solar energy into electrical energy.

W.P1.8
16:30
Authors : E. Rosseel(1), M. Tirrito(2), C. Porret(1), B. Douhard(1), A. Hikavyy(1), R. Loo(1), N. Horiguchi(1), J. Tolle(3)
Affiliations : (1) Imec, Leuven, Belgium ; (2) Polytechnic University of Turin, Turin, Italy ; (3) ASM, Phoenix, USA ;

Resume : With the downscaling of the CMOS transistor dimensions, the reduction of the external resistance is playing an important role in the overall device optimization. For NMOS devices, highly P-doped Si:P epitaxy is typically used to lower the source/drain and contact resistance. In this work we report on the use of As as a dopant and compare the layer characteristics and contact resistivity of highly doped epitaxial Si:P, Si:As and Si:P:As layers grown on 300 mm Si (100) substrates. As is found to incorporate more efficiently into the epi layer compared to P but gives rise to a lower tensile strain. A reduced diffusion is confirmed for Si:As after spike and laser anneal, making the layer an interesting candidate for an extension liner. In general, the dopant activation of the Si:As and Si:P:As layers after epitaxy is lower than that of Si:P. Only in some specific cases (e.g. for concentrations below ~3% and a non-selective process), we can observe an enhanced activation due to the co-doping of P and As which we attribute to a favorable Arsenic vacancy complex formation. The contact resistivity was extracted using a Multi-Ring Circular Transmission Line Model for a Ti based contacting scheme. Both layers with and without a post epitaxy ms laser anneal were studied. Excellent contact resistivities down to ~ 2E-9 Ohm.cm2 could be found at high doping levels. The addition of As doesn’t seem to lead however to strong improvements in contact resistivity.

W.P1.9
16:30
Authors : Sarah Sowmya Priya Konedana 1, Gil Shalev 1,2*
Affiliations : 1) School of Electrical & Computer Engineering, Ben-Gurion University of the Negev, POB 653, Beer-Sheva 8410501, Israel. 2) The Ilse-Katz Institute for Nanoscale Science & Technology, Ben-Gurion University of the Negev, POB 653, Beer-Sheva 8410501, Israel.

Resume : Efficient omnidirectional absorption is important to various applications ranging from absorption-based photonic devices to solar energy harvesting technologies. Unlike normal incidence, under oblique illumination the azimuthal angles and polarization states not necessarily degenerate as these will couple to different modes. We numerically examine the absorption dependency on angle-of-illumination (AOI) in arrays of free-floating subwavelength compound parabolic concentrators (CPCs)1. For a non-optimized CPC array, the broadband absorption is ~30% higher than the broadband absorption of a nanopillar (NP) array (that is geometrically adjusted for the broadband absorption of the solar light) for AOI=0°-80°, and it ranges from 40%-44% in the range of AOI=0°-70° while the NP array varies with 30%-35% for the same range. We show that for CPC arrays the absorptivity is higher than that of the NP array on account of the repressed transmission for both TE and TM illumination. The absorptivity under TM illumination maintains omnidirectionality up to AOI=80° whereas for TE illumination, the absorptivity decreases appreciably for AOI>60°. Finally, the improved broadband omnidirectionality absorptivity in CPC arrays is traced to competent excitation of various asymmetric photonic states. References 1 Exceptional omnidirectional absorption of the solar radiation in arrays of subwavelength silicon compound light concentrators. S. S. P. Konedana and G. Shalev. Under review.

W.P1.10
16:30
Authors : Kai YANG, Nathalie COULON, Anne Claire SALAUN, Laurent PICHON
Affiliations : Univ Rennes, CNRS, IETR [Institut d'Electronique et de Télécommunications de Rennes] - UMR 6164, F-35000 Rennes, France

Resume : Several methods for the synthesis of silicon nanowires have been proposed, including the well-known Solid Liquid Vapour (VLS) method using gold as catalyst and a silicon-based precursor gas. In this work we propose a 3D Solid Liquid Solid (SLS) growth mode of silicon nanowires at low temperature (250°C), using a silicon layer as precursor. The synthesis of the nanowires is carried out under hydrogen plasma from silicon substrates (monocrystalline or amorphous silicon thin film), using indium as a catalyst. Studies have been carried out in function of the thickness of the deposited indium, the duration and the temperature of the hydrogen plasma. The results showed a growth of smooth surface nanowire arrays (diameter 100 nm, length 500 nm) from an indium thickness of 20 nm and a hydrogen plasma duration of 30 min. The growth of nanowires for longer hydrogen plasma durations and thicker indium layers has led to nanowires with larger diameters and rougher surfaces, revealing the onset of secondary nanowire growth on these surfaces, probably due to the presence of indium residues. The growth temperature in this SLS method is much lower than those reported by the VLS method but also by other works concerning the SLS method, making this mode growth compatible for elaboration of silicon nanowires based devices on low-temperature flexible susbtrates.

W.P1.11
16:30
Authors : Dae-Seop Byeon, Yongjoon Choi, Choonghee Cho, Dae-Hong Ko
Affiliations : Department of Materials Science and Engineering, Yonsei University, Korea

Resume : Thanks to the weaker Si-Si bonding energy, higher order silanes are expected to show higher growth rate than lower order ones. So high order silanes are considered as precursors for low temperature epitaxial growth. We investigated SiGe epitaxial growth on Si substrates in ultra-high vacuum chemical vapor deposition chamber at 400~500°C. Disilane, trisilane and tetrasilane were used for Si precursors, while germane for Ge. All of the precursors were adopted by pure chemicals, i.e., there are no carrier gases or dilution. Whole process including in-situ radio frequency plasma cleaning is performed at the same temperature. Base pressure is always under 1.0E-7 Torr. Epitaxial SiGe thin films were successfully grown by all precursors. The quality of grown samples were analyzed by X-ray diffraction, atomic force microscopy and transmission electron microscopy. In this study, deposition kinetics and relationship between growth rate and defect generation are widely explored. Trisilane and tetrasilane showed higher growth rate than disilane practically and disilane was failed to deposit at 400°C in our experiments. Interestingly, trisilane showed higher growth rate than tetrasilane usually with high defect density. As a results, the growth rate for high quality epitaxial films should be limited by temperature.

W.P1.12
16:30
Authors : Seran Park, Hyunsu Shin, Eunjung Ko, Dae-hong Ko
Affiliations : Department of Material Science and Engineering, Yonsei University, Korea.

Resume : As device sizes become smaller, the importance of contact resistance in characteristics such as resistance capacitance (RC) delay increases. In order to lower the contact resistance, approaches such as interlayer insertion or additional doping have been pursued. Recently, several studies have been conducted to increase the doping concentration at an interface, and as a result, low contact resistivity of 4.4x10-9ohm∙cm2 is realized on high concentration substrate. However, there have been only few reports on the silicide phase and barrier height. In this study, we investigated the effects of dopant activation on the formation of titanium silicide using dynamic surface annealing (DSA). This study was performed on high concentration in situ phosphorus doped Si film, and the active dopant concentration was measured by hall measurements. The phase of the formed titanium silicide was analyzed using high resolution X-ray diffraction. When silicidation was performed at the same temperature, C59 was formed on the substrate without DSA, but C49 was formed on the substrate with DSA. This suggests that higher concentrations of active dopants inhibit the silicide formation. We also measured the barrier height in the formed structure and compared the electrical properties according to the active dopant concentration.

W.P1.13
16:30
Authors : K. E. González Flores1, P. Horley1, J.L Frieiro3, S. González-Torres3, J. López-Vidrier3, S. Hernández3, B. Garrido3 and A. Morales Sánchez2
Affiliations : 1 Centro de Investigación en Materiales Avanzados S.C., Unidad Monterrey, Alianza Norte # 202, PIIT, CP 66628, Apodaca, Nuevo León, México; 2 INAOE, Department of Electronics, Puebla, 72000, México; 3 MIND, Department of Electronics and Biomedical Engineering, Universitat de Barcelona, Martí i Franquès 1, E-08028 Barcelona, Spain.

Resume : Advanced material engineering of silicon rich oxide SiOx (x<2) films in terms of silicon content and nano-structuring leads to a considerable improvement of their electro-optical properties. In particular, enhanced photodetection properties with spectral response from ultraviolet (UV) to near infrared (NIR) can be thus achieved, which is of great interest regarding the integration of Si electronics into photonics [1-2]. As a consequence, many studies have focused on silicon nanocrystals (Si-ncs) embedded in SiOx layers for the development of photodetector devices [3]. Nevertheless, to obtain the Si-ncs a high-temperature thermal annealing process is required, which leads to an increase in manufacturing cost. In this work, we report on the photoresponse properties of SiO2/SiOx multilayer (ML) system contained within a metal-oxide-semiconductor (MOS) structure [Al/ML/p-Si] without any thermal annealing process. The SiO2/SiOx ML was deposited on p-type Si substrate by RF magnetron sputtering. Silicon excess of SiO2/SiOx MLs system was analyzed by XPS. I-V characteristics of the device have been studied in dark and under monochromatic illumination (300–1100 nm). Photoconduction and photocurrent have been observed in the illuminated I-V curves, respectively under reverse bias conditions and 0V. This photoresponse is ascribed to photon absorption in SiOx sub-band gap states created by the Si excess of the SiO2/SiOx MLs. References: [1] J. M. Shieh, et al., Appl. Phys. Lett. 90, 051105 (2007). [2] S. M. Hossain, et al., J. Appl. Phys. 104, 074917 (2008). [3] M. Curiel, et al., Sensors, 19, 2277 (2019).

W.P1.14
16:30
Authors : Siti Rahmah Aid (1,2), NurNadhirah MohamadRashid (1), UmarAbdul Aziz (1), NurfarhanaArissa Jonny (1), Hiroshi Ikenoue (2), Anthony Centeno (3)
Affiliations : (1) Universiti Teknologi Malaysia, MALAYSIA; (2) Kyushu University, JAPAN; (3) Xian Jiatong-Liverpool University, CHINA

Resume : In recent years, several methods have been proposed to overcome the problems related to dopant activation during the fabrication of np junction in germanium (Ge) substrate. Recently, co-implantation technique of two atoms with different sizes has gained interest in enhancing carrier activation. It has been reported that an active carrier concentration up to 1E20 cm-3 can be achieved as a results of the stress-induced activation attributed to the large atomic size of the co-implanted dopant atoms. Employing ultraviolet (UV) laser annealing in the thermal annealing process will further lead to the improvement in dopant activation and recrystallization of the damage layer, as well as controlling the diffusion compare to the conventional techniques. This work introduced a new combination of atoms in co-implantation technique for fabricating np junction in Ge. Optimization of fabrication process parameters to cater the demand of sub-20 nm technology node with sheet resistance of 100 ~ 200 ꭥ/□ and junction depth less than 120 nm was carried out in this work. Phosphorus, P (atomic radius: 98 pm) was selected as an n-type dopant atom, co-implanted with a non-dopant atom of tin, Sn (atomic radius: 145 pm). Such combination was considered to create stress/strain associated to the atomic size that will lead to the stress/strain-induced activation. UV laser thermal annealing was subsequently employed to electrically activate dopant while promoting damage removal. Excimer laser of krypton fluoride, KrF (λ = 248 nm, pulse width = 55 ns) was selected for this purpose. Sample that was implanted with P-only (i.e. single-implanted sample) was also prepared as a comparison to get insight on the stress/strain-induced activation. Fabricated np junction was then evaluated for its electrical and structural characteristics. Four-point-probe measurement were performed to measure sheet resistance (Rs) of the np junction. Depth profiling of implanted ions were obtained using a dual beam system of IONTOF ToF-SIMS instrument. Raman spectroscopy was used to evaluate strain and recrystallization of implanted layer. Transmission electron microscope (TEM) were utilized to get insight on the recrystallization during annealing process. Results show that higher activation with Rs values ranging from 112 ꭥ/□ – 212 ꭥ/□ were obtained for all co-implanted and single-implanted samples annealed at laser parameters of 300 and 500 mJ/cm2, with 2 and 4 laser-shot-number. The range of junction depth obtained in this work was between 59 nm – 105 nm, with the shallow value was from the co-implanted sample annealed at lower laser energy of 300 mJ/cm2, 2 shots. Slight improvement of ~ 3% in Rs can be observed when comparing co-implanted sample to the single-implanted sample, both annealed at 300 mJ/cm2, 2 shots. In addition to this, strain percentage in the co-implanted sample also increased ~9% higher than the one in the single-implanted sample, having tensile and compressive strain in respective samples. The dependency of dopant activation to laser shot number can be seen when comparing the co-implanted and single-implanted samples annealed at 300 mJ/cm2 which is considered due to the increase in junction depth as a result of the substrate melting and resolidification, along with the change in strain percentage. Same phenomenon was observed in the samples annealed at higher laser fluence of 500 mJ/cm2, 2 shots. From raman spectroscopy, it is confirmed that recrystallization occurred in all annealed samples, although stacking fault and end-of-range defects can be observed from TEM observation in the recrystallized region of co-implanted sample.

W.P1.15
16:30
Authors : Torres, V.J.B.(1), Santos, P.(1) & Coutinho, J.*(1)
Affiliations : (1) Department of Physics and I3N, University of Aveiro, Campus Santiago, 3810-193 Aveiro, Portugal * lead presenter and contact author

Resume : Oxygen and hydrogen impurities are ubiquitous and often beneficial in many silicon-based technologies, in particular in solar. Here, we revise and extend the theory of interaction between H and O impurities in Si. We employ modern hybrid density-functional theory within the supercell approximation and explore the configurational space using the nudged elastic band method. The binding energies of H to O are 0.30 and 0.47 eV for positive and negative charge states, respectively. Using the electronic transition energies of isolated hydrogen as markers, the calculated E(0/+) and E(–/0) levels of OH show a negative-U ordering and are estimated at Ec – 0.16 eV and Ec – 0.69 eV, in very good agreement with experimental data. Regarding the effect of H on the O migration barrier, we found that hydrogen act as a catalyst for the O diffusivity by lowering the “H-free” barrier by about 1 eV, more specifically to 1.65 and 1.42 eV for negatively and positively charged H. These conditions are likely to be found in n-type and p-type material, respectively. Importantly, we found that the jump of oxygen in the form of neutral OHBC is limited by a barrier of only 0.58 eV. This result suggests that the presence of neutral hydrogen (for instance upon illumination with above band-gap light), could lead to anomalously fast and long range diffusion of oxygen, with important impact on many solid state reactions.

W.P1.16
16:30
Authors : Adrià Garcia-Gil, Subhajit Biswas, Justin D. Holmes
Affiliations : School of Chemistry & AMBER Centre, University College Cork, Cork, T12 YN60, Ireland.

Resume : Enhancement towards simpler carbon-based nanocomposite synthesis is a key step to satisfy the ever-increasing demand for energy storage devices, as well as, in integrating group IV materials as efficient anodes into Li-ion batteries. In this work, we report a simple, rapid and cost-effective one-step synthesis approach for the self-seeded growth of carbonaceous-Ge nanowires (NW) in supercritical solvents through solvothermal-like single-pot growth. By using low-boiling point solvents such as toluene and commercially available Ge precursors (e.g. diphenylgermane), self-seeded Ge NW growth was instigated at temperatures between 330 and 490 °C . The NWs display high-aspect-ratio with an average diameter of around 12 nm and are highly-crystalline in nature with no stacking faults or twin defects. These NWs are embedded in an amorphous carbon matrix (composed exclusively by C and H) which initially, accommodates tiny Ge nanoparticles (NP) for the very thin wires to grow, and subsequently, its presence promotes a superior battery performance. The C-based matrix is the fruit of an in-situ polymerization and condensation of the light carbon compounds derived from the precursor decomposition. Grown carbonaceous-Ge NW composites demonstrated great efficiency as anode material in Li-ion battery with high specific capacity (≈1200 mAh/g after longer than 150 cycles) and exceptional capacity retention. Carbon presence maximizes the stability and areal capacity of the nanomaterial.

W.P1.17
16:30
Authors : J. Bogdanowicz (1), Y. Oniki (1), K. Kenis (1), Y. Muraki (2), T. Nuytten (1), A. Franquet1, V. Spampinato (1), T. Conard (1), J. Meerschaut (1), N. Claessens (1,3), A. Moussa (1), J. Hung (4), A.-L. Charley (1), P. Leray (1)
Affiliations : (1). Imec, Kapeldreef 75, 3001 Leuven, Belgium (2). TEL Technology Solutions Ltd., Mitsuzawa 650, Hosaka-cho, Nirasaki, Japan 400-0192 (3). KULeuven, Dep. of Physics and Astronomy, C 200-D, 3001 Leuven, Belgium (4). Nova Measuring Instruments Ltd., Israel

Resume : Novel devices like horizontal nanowires, nanosheets, forksheets and ultimately Complementary Field-Effect Transistors (FETs) are candidates to replace today’s finFETs [1] as they offer both an enhanced electrostatic control and a reduced footprint. Common to the processing of these devices is the selective lateral etching, also called cavity etch, of the SiGe layers of a vertical Si/SiGe superlattice, to isolate the future vertically stacked Si channels [2]. Albeit of critical importance for the device performance, the depth of the cavity can only be determined by time-consuming and destructive cross-sectional electron microscopy. In this work, we evaluate the capabilities of various analysis techniques for the quantitative determination of the cavity depth on samples etched by a gas-phase isotropic dry etch process [2-4]. We first show the strengths and weaknesses of conventional Critical Dimension (CD) techniques, i.e. CD Scanning Electron Microscopy (CD-SEM) and Optical CD (OCD) scatterometry, for this challenging measurement of a buried, lateral and nanoscale CD. We then demonstrate the capabilities of alternative techniques. As we show, one of these techniques is a very promising candidate as a sensitive fast and non-contact probe for the cavity depth. [1] J. Ryckaert, imec magazine, pp. 14-19, December 2019. [2] Y. Oniki et al., ECS Trans., 92, 3 (2019). [3] S. Kal et al., Proc. SPIE, 10963 (2019). [4] N. Loubet et al., IEDM Tech. Dig., 242 (2019).

W.P1.18
16:30
Authors : I.A. Morozov1, A.S. Gudovskikh1, 2, A.V. Uvarov1, A.I. Baranov1, E.A. Vyacheslavova1, 2, D.A. Kudryashov1
Affiliations : 1Alferov University RAS, St. Petersburg, Russia; 2St. Petersburg Electrotechnical University “LETI”, St. Petersburg, Russia

Resume : Nowadays Silicon is predominantly used in solar cells manufacturing due to its availability and relatively low cost. Further development of photovoltaics requires to increase the photovoltaic conversion efficiency and to decrease the price of the produced energy. Thus, new concepts and approaches should be explored. One of the key factors affecting the efficiency of solar cells is the optical losses related with reflection and incomplete absorption in the active layers of a semiconductor material. One of the promising paths to reduce optical losses is the usage of micro-and nano-structured surface of Si. In this paper, we consider vertically oriented structures on silicon obtained by cryogenic deep etching. The feature of this method is that the silicon substrate is hold at a low temperature from -80°C to -150°C during the process. At these temperatures, the side surface is passivating due to the formation of a non-volatile SiOF compound. This process allows one to adjust the angle of slope of the etching walls with a high accuracy additionally providing a possibility to increase the resistance of the mask to etching due to low temperature. A large variation of the process parameters (pressure, SF6/O2 ratio, RF and ICP power, temperature) will be performed to obtain low defect and low reflectance conditions. Dependence of optical and electrical properties on the length and diameter of silicon wires will be presented.

W.P1.20
16:30
Authors : S. Román-Sánchez, A. Serrano, I. Lorite, A. del Campo, J.F. Fernández, A. Moure
Affiliations : Instituto de Cerámica y Vidrio (ICV), CSIC SEG Automotive Germany GmbH

Resume : An extended application in semiconductor-based technology is the use of rectifier diodes in vehicles alternators to convert part of the engine mechanical energy into electrical energy. The most common failures in electric alternators are due to the electrochemical corrosion of the diodes or to damages as a consequence of the high current that circulates through diodes, which causes a significant temperature increase. This effect is one of the system weaknesses, affecting directly to the device operation parameters. The current Si-based diodes show a multicomponent nature since they are built up by different materials, which have different thermomechanical response and behavior, being responsible of the appearance of some damages due to mechanical stress generation in the device. The aim of this work is to understand the interfaces phenomena between the different materials of the device during its performance, mainly in the silicon interfaces, since it is the active part. For that, a study of several commercial diodes currently used in alternators is carried out under certain working conditions which can lead to system failures as well as to some unknown phenomenology. Techniques such as confocal Raman microscopy and infrared thermography have allowed an in operando study of the diodes in forward and reverse biased conditions. The results show the device behavior at the interfaces, the generated stress in the different components and some system failure modes.

W.P1.21
16:30
Authors : *Sarra Laifa, Adeline Lanterne, Hélène Lignier, Sébastien Dubois
Affiliations : CEA, LITEN, DTS, INES F-73375 Le Bourget du Lac, France

Resume : Recently, homojunction crystalline Silicon solar cells integrating passivated contacts made of poly-crystalline Si layers (poly-Si) deposited on ultra-thin oxides (SiOx) have reached record performances of 26.1% [1] at laboratory scale. Today, the PV community shows a strong will for the industrialization of these structures. The transfer to an industrial environment for the cell fabrication increases the risk of surface contamination during the process, essentially by metallic impurities. To study its influence on the performances of passivated contacts structures, we are developing two original surface contamination methods. They consist in exposing the structure at various stages of its elaboration in contaminated environments where the contamination level is controlled by Vapor Phase Decomposition Inductively Coupled Mass Spectrometry (VPD-ICP-MS). The first approach concerns the exposure in specific areas of the clean room for various durations, the contamination level over storage time being controlled by VPD-ICP-MS. First results for this approach confirmed the possibility of increasing the concentration of some metallic elements such as Fe, Cr, Ni, In and Sn with the exposure time. The second approach is based on an exposure in a specific equipment used for the deposition of ITO layers with a control of the contamination. We showed the possibility of varying the surface contamination level and reaching high In and Sn surface concentrations that exceeded 10E12 cm2. The impact of this intentional contamination, conducted after the deposition of the a-Si (B) and before the poly-Si crystallization annealing, on the performance of the passivated contacts structures, is now being assessed. The first extracted (iVoc) values are below 698 mV, compared to 714 mV for uncontaminated reference structures. [1] L. Jingjing et al., Journal of Physics.51, 12(2018).

W.P1.22
16:30
Authors : Kudryashov Dmitry (1), Gudovskikh Alexander (1,2), Baranov Artem(1), Uvarov Alexander(1), Monastyrenko Anatoly(1), Maximova Alina(1,2), Viacheslavova Ekaterina(1,2)
Affiliations : 1) Alferov University, St. Petersburg, Russia 2) St. Petersburg Electrotechnical University “LETI”, St. Petersburg, Russia

Resume : Plasma assisted technologies are increasingly being used in semiconductor devices fabrication. The world record efficiency of 26% was demonstrated for solar cell fabricated with usage of plasma enhanced chemical vapor deposition method. Further increase in efficiency of silicon based solar cells requires new concepts and approaches. Light trapping enhancement is a promising way, however, traditional approach of wet etching for surface texturing already has reached its limit. Plasma chemical etching has more degrees of freedom, but there are still remains issues with surface degradation caused by high energy particles. Recently an effect of cryogenic ICP plasma etching on charge carrier lifetime in silicon was shown [1]. This work presents last results for surface defects evaluation obtained by plasma etching at different regimes (RIE and ICP) and conditions to find optimal solution for mass scale PV production. The influence of the dry etching process on effective lifetime and defect formation will be demonstrated using QSSPC, PL-decay and space charge capacitance measurements (C-V, DLTS, admittance spectroscopy). [1] D. Kudryashov et al. // Phys. Status Solidi A 2019, 1900534

W.P1.23
16:30
Authors : Jakub Holovský, Lucie Landová, Vladimír Sychrovský, Jan Zemen, Vít Jirásek, Jakub Šebera
Affiliations : Centre for Advanced Photovoltaics, FEE, CTU in Prague, Czech Republic; Institute of Physics of the Academy of Sciences of the Czech Republic, Prague; Institute of Plasma Physics of the Academy of Sciences of the Czech Republic, Prague; Institute of Organic Chemistry and Biochemistry of the Academy of Sciences of the Czech Republic, Prague

Resume : Hydrogen-terminated crystalline silicon surface with orientation (111) prepared at room temperature is a starting point of many technological steps including record-efficiency silicon solar cells. High sensitivity infrared vibrational spectroscopy can be measured by FTIR-ATR technique [1], however peak assignments have been so far based on ab initio simulations of only small clusters like Si3H8 with limited ability to describe e.g. effects of stearic coupling. We present here for the first time Density Functional Theory of periodic structures. We benchmark our simulations to unambiguously known solitary vibrational mode of ideal H/Si(111)-(1x1) at 2083 cm–1 and of ideal H/Si(110) modes at 2071 cm–1 and 2089 cm–1. Consecutively we explain unknown satellite vibrational peak at the surface (111) as coupled mode of the regions with the same vibration but different phase. By simulating vicinal surfaces (667) and (776) we shed new light on assignment of vibrations of less ideal surfaces featuring all kinds of steps. We conclude that stearic coupling may shift vibration lines by tens of cm–1 which disqualifies assignments matching only individual frequencies. Finally, based on measured spectra we build qualitative and also quantitative models of Si surface after etching in HF in contrast to etching in NH4F. [1] J. Holovský, Attenuated total reflectance Fourier-transform infrared spectroscopic investigation of silicon heterojunction solar cells, Rev. Sci. Instrum. 86 (2015) 073108

W.P1.24
16:30
Authors : Alex I. Pointon, Nicholas E. Grant, Sophie L. Pain, Joshua T. White, John D. Murphy
Affiliations : School of Engineering, University of Warwick, Coventry, CV4 7AL, United Kingdom

Resume : Minimising recombination at semiconductor surfaces is required for accurate determination of bulk carrier lifetime. Proton donors, such as hydrofluoric acid (HF) and superacids, are well known to provide highly effective short-term surface passivation. We demonstrate here that aprotic solutions based on bis(trifluoromethanesulfonyl)methane (TFSM) in hexane or pentane can also result in excellent passivation of (100)-orientation silicon surfaces. We demonstrate that the optimised TFSM-pentane passivation scheme can measure effective lifetimes up to 20 ms, with a surface recombination velocity of 1.7 cm/s at an excess carrier density of 10^15 cm^-3. Fitting injection-dependent lifetime curves requires chemical passivation and field effect passivation from a negatively charged film with a charge density of 10^10–10^11 qcm^-2. The marginally worse surface recombination velocity of 2.3 cm/s measured with TFSM-hexane can be explained by a lower charge density in the passivating film, suggesting the steric hindrance associated with the solvent size affects the passivation mechanism. Finally, phosphorus nuclear magnetic resonance experiments confirm TFSM-based solutions have Lewis acidity without being superacids, which may mean they can be used on materials systems sensitive to superacidic environments.

W.P1.25
16:30
Authors : Youya Wagatsuma 1, Md. M. Alam 1.2, Kazuya Okada 1, Yusuke Hoshi 1, Michihiro Yamada 3, Kohei Hamaya 3, Kentarou Sawano 1
Affiliations : 1 Adv. Res. Lab., Tokyo City Univ.; 2 Univ. of Barishal; 3 CSRN, Osaka Univ.

Resume : Strained SiGe/Ge heterostructures with the (111) surface orientation are attracting attentions owing to their applicability to high mobility nMOS and spintronics devices [1], whereas crystal growth and defect structures of the SiGe/Ge(111) have not been explored sufficiently. Recently we systematically investigated critical thickness of the strained SiGe/Ge-on-Si(111) [2], and found that unusual line-shaped ridge roughness appears on the SiGe surface at the initial stage of strain relaxation. In this study, we clarify an origin of the ridge formation and drastically suppress it by patterning Ge-on-Si substrates as a new approach. In experiments, a Ge layer was grown on a Si(111) substrate with MBE, followed by line & space patterning via photolithography process. Subsequently, a strained SiGe layer was grown on the patterned Ge-on-Si. TEM observations revealed that cracks are generated in the ridge region for the sample without the patterning. By contrast, it was shown that the surface was free from such ridges for the sample with the patterning, which indicates the crack formation can be completely avoided by the patterning. Therefore it can be said that this method can increase critical thickness and highly widen the applicability of the strained SiGe(111) heterostructures to spintronics and high performance electronic devices. This work was partially supported by JSPS KAKENHI (Nos. 16H02333, 19H02175 and 19H05616). [1] K. Hamaya et al., J. Phys. D: Appl. Phys. 51, 393001 (2018). [2] Md. M. Alam et al., Appl. Phys. Express 12, 081005 (2019).

W.P1.27
16:30
Authors : Xiaolong Zhang, Wipakorn Jevasuwan, and Naoki Fukata
Affiliations : National Institute for Materials Science (NIMS)

Resume : One-dimensional nanostructures, Ge/Si core-shell NWs, have attracted considerable attention in the last decades. Many efforts have been done to optimize structures, selective doping, carrier’ s concentration, and interface properties to be able to use NWs for nanodevices. However, the formation of a sharp interface and good crystallinity for the i-Ge/p-Si core-shell structure have not yet been optimized to enhance hole carrier accumulation. In this study, the thermal annealing effects on i-Ge/p-Si core-shell NWs were investigated. The morphology, intermixing at the interface between the i-Ge core and p-Si shell layers, and crystallinity of the core-shell NWs were analyzed by controlling the annealing parameters. From the results, the structure of NWs changed at high annealing temperature and high annealing pressure, showing that the shapes transformed from NWs structure to block shape with alloy properties. The change of intermixing of core-shell NWs was estimated by Raman spectra under the various pressures and temperatures. Comparison of high- and low-pressure annealing conditions for i-Ge/p-Si core-shell NWs was carried out, showing that low-pressure conditions could suppress intermixing while high-pressure could enhance the formation of intermixing. The adjustment of various annealing temperature and annealing times were also investigated, demonstrating that intermixing was increased at a higher temperature and longer annealing time.

W.P1.28
Start atSubject View AllNum.
08:45 PLENARY SESSION 2    
09:45 Coffee break    
 
Session 5: Advanced characterisation of defects in Group IV semiconductors : TBD
10:00
Authors : Koji Yokoyama, James Lord
Affiliations : ISIS Pulsed Neutron and Muon facility, STFC Rutherford Appleton Laboratory, Didcot, Oxfordshire, OX11 0QX, UK

Resume : Muon spin relaxation spectroscopy, µSR, utilizes spin-polarized positively charged muons to study material properties by measuring time evolution of the spin ensemble. Upon implantation into semiconductors, they can form muonium (Mu), a bound state of muon and electron, which subsequently interact with its surrounding environment. If excess carriers are injected in the system, the carrier exchange interaction with Mu centers causes muon spin relaxation due to Mu hyperfine interaction. We found that the relaxation rate could be used as a measure of excess carrier density and developed a new method of carrier lifetime spectroscopy. A distinct advantage of the technique is that, by virtue of the muon’s bulk and localized nature, one can measure the lifetime spectrum at a specific position within a wafer. With a one-dimensional carrier diffusion model, we have demonstrated that the bulk carrier lifetime can be decoupled from the effective lifetime. Furthermore temperature dependent studies elucidate details of the carrier kinetics and recombination mechanisms. We first developed the method in Si, and recently extended it to Ge. Refs: PRL 119, 226601 (2017); APL 115, 112101 (2019).

W.5.1
10:30
Authors : Han Han(1)*, Thomas Hantschel(2), Libor Strakos(3), Tomas Vystavel(4), Andreas Schulze(5), Marina Baryshnikova(6), Yves Mols(7), Bernardette Kunert(8), Roger Loo(9), Wilfried Vandervorst(10), Matty Caymax(11)
Affiliations : (1) imec, Kapeldreef 75, 3001 Leuven, Belgium & KU Leuven, Dept. of Physics and Astronomy, Celestijnenlaan 200D, 3001 Leuven, Belgium; (2) imec, Kapeldreef 75, 3001 Leuven, Belgium; (3) Thermo Fisher Scientific, Vlastimila Pecha 12, 62700 Brno, Czech Republic; (4) Thermo Fisher Scientific, Vlastimila Pecha 12, 62700 Brno, Czech Republic; (5) imec, Kapeldreef 75, 3001 Leuven, Belgium, Now with Applied Materials, 3340 Scott Blvd, Santa Clara, CA 95054 USA; (6) imec, Kapeldreef 75, 3001 Leuven, Belgium; (7) imec, Kapeldreef 75, 3001 Leuven, Belgium; (8) imec, Kapeldreef 75, 3001 Leuven, Belgium; (9) imec, Kapeldreef 75, 3001 Leuven, Belgium; (10) imec, Kapeldreef 75, 3001 Leuven, Belgium & KU Leuven, Dept. of Physics and Astronomy, Celestijnenlaan 200D, 3001 Leuven, Belgium; (11) imec, Kapeldreef 75, 3001 Leuven, Belgium; * lead presenter

Resume : After so many decades, CMOS scaling along Moore’s Law is still alive and kicking, although its physical limits would have been reached already several years ago. To a large extent, this ongoing success story is partially due to continuous materials innovations enabling complex device structures with nm-size dimensions. A very critical role thereby plays the crystalline quality and the presence of crystalline defects in the Group IV and III-V semiconductors required for the fabrication of advanced devices. One of the most powerful defect analysis techniques developed in recent years is electron channeling contrast imaging (ECCI) which allow for non-destructive and fast characterization with the potential for extremely low detection limits. The applicability of ECCI is significantly influenced by the defect contrast variability in the SEM set-up. In this study, a retractable multi-segment backscatter electron (BSE) detector, mounted below the lens geometry, is applied to study different defect in blanket GaAs and Ge layers on Si substrates and selectively gown III-V nanoridges. The influence of BSE detection angles on defect contrast is studied at different primary beam energies. It’s found that threading dislocations and stacking faults present a stronger defect contrast at BSE collection angles 53°-65° for the investigated primary beam energies. Furthermore, 5 keV can not only reveal crystalline defects and atomic terraces but is also favorable for defect analysis of 3D nanoridge structures. However, imaging 3D nanostructures is challenging due to high contrast variations at edges (edge artifacts). To address this limitation, we developed a methodology based on combination of 2 ECCI maps using different segments of the detector.

W.5.2
11:00
Authors : Artem Danilov, Andreas Huber, Philip Schäfer
Affiliations : neaspec GmbH, Eglfinger Weg 2, 85540 Haar, Germany

Resume : For centuries, investigation of materials by means of optical microscopy and spectroscopy has been one of the most important methods to characterize the fundamental properties and characteristics of solid matter. However, the resolution of conventional optical microscopy is restricted by a diffraction related limitation and today, in the era of nanotechnology, it is important to have a proper tools for nanoscale investigations. Scattering scanning near-field microscopy (s-SNOM) is a method that circumvents a fundamental resolution limit by creating a nano-focus at the apex of a metal AFM tip, confining the light–matter interaction to the tip-sized near-field. We implement this principle in our neaSNOM near-field microscope in a way that makes it possible to utilize light from the visible and IR up to THz regions to probe nanoscale phenomena. Our interferometric detection method allows us to detect both optical amplitude and phase of the elastically scattered light at the same time, and efficiently suppress background. Interferometric methods allow background-free monochromatic optical mapping as well as broadband nano-FTIR spectroscopy, both at AFM resolution. Knowledge about strain at the nanometre scale is essential for tailoring the mechanical and electronic properties of materials. Flaws, cracks and their local strain fields can be detrimental to the structural integrity of many solids. Conversely, the controlled straining of silicon can be used to improve the performance of electronic devices. Here, we demonstrate that infrared near-field microscopy allows direct, non-invasive mapping and a semiquantitative analysis of residual strain fields in polar semiconductor crystals with nanometre-scale resolution. Near-field infrared strain mapping provides possibilities for nanoscale material and device characterization, and could become a tool for nanoscale mapping of the local free-carrier mobility in strain-engineered semiconductors.

W.5.3
 
Session 6: Silicon carbide : TBD
11:15
Authors : José Coutinho (1), Vitor J. B. Torres (1), José D. Gouveia (2), Ivana Capan (3)
Affiliations : (1) Department of Physics and I3N, University of Aveiro, Campus Santiago, 3810-193 Aveiro, Portugal; (2) CICECO, University of Aveiro, Campus Santiago, 3810-193 Aveiro, Portugal; (3) Division of Materials Physics, Ruder Bo¨ković Institute, Bijenićka 54,10 000 Zagreb, Croatia

Resume : Despite significant advances towards the growth of p-type 4H-SiC doped with boron, we still have no model accountable for the multitude of experimental data in the literature. A conspicuous puzzle lies on the observation of two shallow boron defects with rather distinct axial orientations as found by EPR and ENDOR. We performed a comprehensive study of boron in 4H-SiC using non-local hybrid density functionals. In agreement with the general view, boron is shallower on the Si site (BSi) than replacing carbon (BC). Other complexes (1) show electronic properties inconsistent with the DLTS, and (2) their formation is found discouraged either due to thermodynamics (they display a very high formation energy), or due to kinetics (considering the low concentration and high migration barriers of the reactants involved). The non-local treatment of the electronic exchange leads to a stable C-radical in the BSi structure. We show how the crystal field of 4H-SiC imposes a specific stability ordering of the different BSi configurations, where the two most stable alignments on k- and h-sites match the ENDOR data, thus solving the above referred puzzle. For BC (deep boron), a Jahn-Teller effect leads to a surprisingly large 0.25 eV stabilization energy, considering the tiny displacement (0.05 Å) of the B atom. The EPR and ENDOR data for this species is discussed in the light of calculated g- and hyperfine-splinting tensors.

W.6.1
11:45
Authors : Manesh Mistry, Jonathon Cottom, Alexander Shluger
Affiliations : Department of Physics and Astronomy, UCL, UK

Resume : The potential of silicon carbide based MOSFETs has been hampered by a high concentration of interface defects. These defects have been the subject of extensive study, both experimental and theoretical, over the last 20 years with various models being proposed, and defects identified. In tandem, the phenomenological understanding of how these defects may best be passivated has allowed the technology to move from the lab bench to deployment in a variety of applications. In particular, high temperature anneal in Nitric oxide (NO) represents the current state of the art for the passivation of SiC/SiO2 devices. The density of interface states is reduced by 99% as a result of the treatment with NO providing a dramatic improvement in mobility and reliability. Experimentally it is known from XPS, EELS, and SIMS that the 4H-SiC/SiO2 interface is extensively nirtidated, while there is no evidence of N incorporation in the body of the oxide. Computational modelling played a significant role in characterization of defects at SiC/SiO2 interfaces. We will discuss the results of DFT modelling of NO and NH3 incorporation into amorphous SiO2 films, their diffusion towards the SiC/SiO2 interface and interaction with defects inside the SiO2 film. The results demonstrate the mechanisms of NO and NH diffusion, incorporation and dissociation at O vacancies and passivation of intrinsic electron trapping sites [1] in amorphous SiO2. We discuss the models of SiC/SiO2 interfaces [2] and the mechanisms of NO and NH incorporation at interfaces. We demonstrate how the incorporation of NO and NH affect the mechanisms of dielectric breakdown of SiO2 films [3]. [1] Al.-M. El-Sayed, et al., Phys. Rev. B, 89, 125201 (2014) [2] J. Cottom, et al. Mater. Sci. Forum, 963, 199 (2019) [3] A. Padovani et al. J. Appl. Phys. 121, 155101 (2017)

W.6.2
12:15
Authors : Arne Benjamin Renz, Vishal Ajit Shah, Oliver James Vavasour, Yeganeh Bonyadi, Guy William Clarke Baker, Fan Li, Tianxiang Dai, Marc Walker, Philip Mawby, Peter Michael Gammon
Affiliations : School of Engineering and Department of Physics, University of Warwick, Coventry, CV4 7AL, UK

Resume : Silicon Carbide and its unique material properties including lower specific on-resistance (RSP,ON) and higher critical electric field compared to silicon (Si) have established it as the most mature amongst the wide bandgap semiconductor materials. SiC Schottky barrier diodes (SBD) have become dominant in the power device market in the blocking voltage range of 600-1700 V with SiC trench metal-oxide-semiconductor field effect transistors (MOSFETs) also being highly demanded due to their good performance in high frequency switching power applications in this voltage range. Both unipolar device structures enable the use of compact power converter solutions with reduced demand for space of the external circuitry. The recent rise in industrial interest into the use of SiC converters in electric propulsion systems has opened up a wide application field driving the research in this area. A specific SBD design, Junction barrier Schottky (JBS) diodes, deploying p+ - implantations beneath the Schottky surface to shift away the peak electric field from the surface implanted regions, represents the most commercially successful SiC Schottky device architecture, which is still subject to improvement. The device operation is mainly dictated by the choice of Schottky contact metal which will determine the forward-voltage drop (VF) and reverse current (IR) by the metal-semiconductor Schottky barrier height (SBH). There has been a focus on choosing molybdenum (Mo) as Schottky contact metal since this is being used in Infineon’s current Gen6 SBDs, reducing the barrier height to 0.86eV and hence the forward voltage drop to 1.25 V, whilst causing an increase in leakage current density by two orders of magnitude when comparing it to Gen5 SBDs in which titanium (Ti) was used as contact metal. The authors have previously demonstrated that a Mo/SiC SBD can be produced which reduced both leakage current levels and forward voltage drops by exposing the interface to a phosphorus pentoxide (P2O5) treatment prior to metallisation, offering the possibility to overcome the general trade-off implied by the use of low barrier height Schottky contact metals such as Mo. This investigation has focussed on determining the origin of this electrical improvement by physical investigation of the Schottky interface and also through temperature dependant electrical measurements. P2O5 surface treatment of diodes prior to metallisation reveal a reduction of leakage current densities of by two to three orders of magnitude when comparing it to untreated diodes, having reduced the barrier heights by 0.11 eV on average at the same time. The standard deviation of on-state parameters such as ideality factors and extracted barrier heights is improved as well, confirming previous reports. These parameters are extracted in a temperature range from 80 to 320 K, for treated and untreated diodes, showing that the room temperature offset in SBH of 0.1-0.13 eV is maintained across the entire temperature range. Physical characterisation results depict two mechanisms which are thought to be responsible for the improvement. Transmission electron microscopy (TEM) in combination with energy dispersive X-ray (EDX) microanalysis revealed that oxides were formed in so-called nanopits created during device processing. These have the potential to terminate leakage paths forming at the nanopits. Secondly, secondary ion mass spectroscopy (SIMS) revealed a high concentration of phosphorous atoms near the interface, post P2O5 treatment. It is assumed that only a fraction of the detected concentration (1 x 1019 cm-3) are active, the small increase in doping at the interface is responsible for the reduction in barrier height. X-ray photoelectron spectroscopy (XPS) quantitative reports of a P2O5 treated sample and a control sample reveal a Si rich spectrum for the treated sample, as opposed to a carbon rich spectrum for the untreated sample. This shows that the P2O5 oxide retains a more Si rich interface, contrary to treatments like N2O. In the final submission, a coherent characterisation of Schottky diodes will be presented, including I-V, C-V and I-V-T to demonstrate the electric improvement. XPS, TEM, EDX as well as synchrotron X-ray topography and KOH defect etching results will be shown to identify the previously described mechanisms.

W.6.3
12:30 Lunch    
 
Session 7: Heterostructures involving silicon : TBD
14:00
Authors : Samira Khelifi
Affiliations : Department of Solid State Sciences, Ghent University, Krijgslaan 281/S12, B-9000 Gent, Belgium

Resume : Tandem solar cells made from crystalline silicon as a bottom-cell and thin-film material as a top-cell offer a new path towards increasing the device efficiency and reducing the photovoltaic production costs. In a four-terminal mechanically stacked tandem structure, the current matching is not necessary, since the two cells are independently connected. However, because of the mechanical stacking approach, a top-cell with transparent electrodes and good transparency at long wavelengths is mandatory. Besides the electrodes, the top-cell material needs to fulfill several requirements in order to be successfully used in a tandem configuration. Materials such as perovskite have been already tested, discussed and have shown promising results. Here, some chalcogenide materials which are proposed as good candidates for the wide band gap top cell for tandem solar cell applications are presented. The top-cell specifications regarding bulk and interface material properties as well as the device architecture are discussed in detail.

W.7.1
14:30
Authors : Hirokazu Fukidome
Affiliations : Research Institute of Electrical Communication, Tohoku University 2-1-1 Katahira, Aoba-ku, Sendai 980-8577, Japan

Resume : Graphene is the promising electronic material due to its excellent electronic properties resulting from the linear band dispersion and its ultrathinness which suppresses the so-called short-channel effects. To realize graphene deviecs, fusion of graphene with Si technologies promises the next-generation communication technologies operating in THz frequencies. For this purpose, we have studied and in fact succeeded in heteroepitaxially growing graphene on SiC thin films on Si substrates (GOS) [1-3], based on gas-source molecular beam epitaxy. Based on these studies, we have succeeded in graphene-based transistors for high-frequency operation [2,4]. Very recently, we have newly developed a high-quality growth of graphene on SiC thin films, comparable to that on bulk SiC crystals. We found out that the band structure of GOS is tunable with the surface orientation of the Si substrate. By combining this finding with MEMS technologies, we have developed the novel method that controls the band structure three-dimensionally at nanoscale [5]. This makes it possible to monolithically integrate THz electronic and photonics devices on Si substrates. References: [1] Y. Miyamoto, H. Handa, E. Saito, A. Konno, Y. Narita, M. Suemitsu, H. Fukidome, T. Ito, K. Yasui, H. Nakazawa, and T. Endoh, e-Journal of Surface Science and Nanotechnology, 7 (2009)107. [2] H. Fukidome, R. Takahashi, S. Abe, K. Imaizumi, H.-C. Kang, H. Karasawa, T. Suemitsu, T. Otsuji, Y. Enta, A. Yoshigoe, Y. Teraoka, M. Kotsugi, T. Ohkouchi, T. Kinoshita, and M. Suemitsu, Journal of Materials Chemistry, 21 (2011), 17242. [3] H. Fukidome, S. Abe, R. Takahashi, K. Imaizumi, S. Inomata, H. Handa, Y. Enta, A. Yoshigoe, Y. Teraoka, M. Kotsugi, T. Ohkouchi, T. Kinoshita, S. Ito, and M. Suemitsu, Applied Physics Express, 4 (2011) 115104. [4] M.-H. Jung, G.-H. Park, T. Yoshida, H. Fukidome*, T. Suemitsu, T. Otsuji, and M. Suemitsu, Proceedings of the IEEE, 101 (2013),1603-1608. [5] H. Fukidome, T. Ide, Y. Kawai, T. Shinohara, N. Nagamura, K. Horiba, M. Kotsugi, T. Ohkochi, T. Kinoshita, H. Kumigashira, M. Oshima, and M. Suemitsu, Scientific Reports, 4 (2014) 5173.

W.7.2
15:00
Authors : Yutakaka Ohno [1], Jianbo Liang [2], Naoteru Shigekawa [2], Hideto Yoshida [3], Seiji Takeda [3], Reina Miyagawa [4], Yasuo Shimizu [5], Yasuyoshi Nagai [5]
Affiliations : [1] Institute for Materials Research, Tohoku University, Katahira 2-1-1, Aoba-ku, Sendai 980-8577, Japan; [2] Graduate School of Engineering, Osaka-City University, Sugimoto 3-3-138, Sumiyoshi, Osaka 558-8585, Japan; [3] The Institute of Scientific and Industrial Research, Osaka University, 8-1 Mihogaoka, Ibaraki, Osaka 567-0047, Japan; [4] Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Nagoya 466-8555, Japan; [5] Institute for Materials Research, Tohoku University, 2145-2 Narita-cho, Oarai, Ibaraki 311-1313, Japan

Resume : We have examined the bonding mechanism in Si/GaAs heterointerfaces towards tandem solar cells fabricated by surface-activated bonding (SAB) at room temperature (RT), by scanning transmission electron microscopy combined with focused ion beam operated at low-temperatures [1]. In the SAB process, wafer surfaces are activated by the irradiation of inert atoms in a high vacuum, and the surfaces are then bonded by the contact. In the bonding process at RT, atomic intermixing across the interfaces, due to the transient enhanced diffusion assisted by the point defects introduced in the surface activation process, forms a nanolayer having gradient composition. Spontaneous formation of the gradient nanolayer, acting as a buffer layer that can reduce the interface energy, as well as forming strong chemical bonds, would be the key concept of SAB, by which we can create tough and steep heterointerfaces of dissimilar materials at low cost. On the other hand, those point defects can degrade the electric properties such as interface resistances. The decrease of the defects would play a crucial role in fabricating electronic devices with SAB techniques. By controlling SAB conditions, we can obtain low-resistance Si/GaAs heterointerfaces via the optimization of the trade-off relationship between the chemical bonding strength and the electric properties, determined by the distribution of point defects beneath the activated surfaces. [1] Y. Ohno et al., Jpn. J. Appl. Phys. 59 (2020) SBBB05.

W.7.3
15:15
Authors : A.S. Gudovskikh (1, 2), A.V. Uvarov (1), I.A. Morozov (1), A.I. Baranov (1), D.A. Kudryashov(1), J.-P. Kleider (3)
Affiliations : (1) St. Petersburg National Research Academic University RAS St. Petersburg, Russia (2) St. Petersburg Electrotechnical University “LETI”, St. Petersburg, Russia (3) GeePs, Group of electrical engineering - Paris, CNRS, CentraleSupélec, Univ. Paris-Sud, Université Paris-Saclay, Sorbonne Université, 91192 Gif-sur-Yvette Cedex, France

Resume : Combination of III-V compounds with Si provides a possibility to create new optoelectronic devices like light emitting diodes, multijunction solar cells and optical IC. GaP has the smallest lattice mismatch to Si among all III-V binary alloys making this material commonly used as a nucleation layer. However, GaP is an indirect semiconductor and there is still about (0.4%) lattice mismatch. Addition of few percent of nitrogen in GaP could compensate this difference in lattice constant and the most important that GaPN alloy becomes direct band material. For GaPN ternary alloy grown under continuous process the problem of nitrogen incorporation control appears. Due to strong deferens in electro negativity for V group atoms (N and P) the clusterization, local fluctuation of the composition and defect formation are observed. Digital alloys approach of is proposed in this work to improve control of nitrogen incorporation. Using a combination of a sequence of layers in the form of short-period superlattices (digital alloys) GaP/GaN allows one to precise control of the band gap with compensation of elastic stresses arising from the difference in the constant lattice constant. The main feature of this work is that a low-temperature technology of plasma-enhanced atomic-layer deposition (PE-ALD) will be used as a synthesis method. Low temperature technique is one of the key issues for integration of III-V with Si electronics. Recently, an epitaxial growth of thin GaP film on Si substrate as well as GaN layers on sapphire was achieved by PE-ALD at temperature below 400 C. Usage of the digital alloys approach can potentially significantly expand the spectrum of compounds formed by atomic layer deposition without a significant decrease in the growth rate.

W.7.4
15:30
Authors : Barzaghi, A.* (1), Firoozabadi, S. (2), Salvalaglio, M. (3), Bergamaschini, R. (4), Ballabio, A. (1), Beyer, A. (2), Albani, M. (4), Valente, J. (5), Voigt, A. (3), Paul, D.J. (5), Volz, K. (2), Isella, G. (1)
Affiliations : (1) L-NESS, Dipartimento di Fisica, Politecnico di Milano, Via Anzani 42, 22100 Como, Italy; (2) Materials Science Center and Faculty of Physics, Philipps-Universität Marburg, Hans-Meerweinstraße 6, 35032 Marburg, Germany; (3) Institute of Scientific Computing, Technische Universitat Dresden, 01062 Dresden, Germany; (4) L-NESS and Dipartimento di Scienza dei Materiali, Università di Milano-Bicocca, Via R. Cozzi 55, I-20125 Milano, Italy; (5) James Watt School of Engineering, University of Glasgow, Rankine Building, Oakfield Avenue, Glasgow G12 8LT, United Kingdom

Resume : The integration of different semiconductors on silicon is a basic requirement in many fields, such as electronics and photonics. However, the mismatch in lattice parameter and thermal expansion coefficients result in defective epitaxial layers and reduced device performances. A novel approach, named vertical heteroepitaxy (VHE) has been recently demonstrated to address this issue. VHE employs deep patterning of Si wafers to obtain the vertical growth by Low-Energy Plasma-Enhanced CVD (LEPECVD), of a self-assembled array of fully relaxed Ge micro-crystals, featuring the complete expulsion of threading dislocations. However, VHE requires careful tuning of the morphology of such crystals. Here we focus on the formation, during the epitaxial deposition, of self-assembled nanovoids arranged in ordered arrays within each micro-crystal and in between merging micro-crystals. We present an experimental and theoretical analysis of the formation of such nanovoids, highlighting the growth conditions leading to their nucleation and the role played by the deposition rate, substrate temperature, and substrate pattern geometry. The presence and morphology of the nanovoids have been observed by SEM and TEM imaging, while a phase-field model reproduces the qualitative features of the nanovoid formation, thereby opening new perspectives for the bottom-up fabrication of 3D semiconductors microstructures.

W.7.5
15:45 Coffee break    
 
Session 8: Silicon for photovoltaics : TBD
16:15
Authors : Florian Schindler, Andreas Fell, Benjamin Hammann, Jan Benick, Armin Richter, Frank Feldmann, Patricia Krenckel, Stephan Riepe, Martin C. Schubert
Affiliations : Fraunhofer Institute for Solar Energy Systems ISE

Resume : Strong cost reductions of Cz silicon wafers for the production of solar cells have reduced the prospective market share of block cast silicon, specifically multicrystalline silicon (mc-Si). In the past mc-Si dominated the market despite an inferior material quality because of its significant cost advantage. While it is speculated that block cast silicon will disappear from the market, it could experience a second spring if its electrical quality can compete with that of Cz silicon, as it features, besides significantly lower oxygen concentration, the inherent advantage of less energy consumption during fabrication and resulting smaller carbon footprint. In this contribution we show how the major electrical material related efficiency losses in mc-Si solar cells can be overcome in solar cells based on cast-mono wafers. Applying a TOPCon cell process to n-type cast-mono wafers crystallized by the Seed Manipulation for ARtificially controlled defect Technique (SMART), an approach to suppress the growth of crystal defects during crystallization, we achieved a certified solar cell efficiency of 23.3%, which is the highest efficiency of a solar cell based on cast silicon. The efficiency gain of 1.0% absolute compared to the record efficiency for mc-Si cells (22.3%) is attributed to the absence of grain boundaries and the tolerance towards high temperature processing steps allowing for application of drive-in emitters with reduced recombination.

W.8.1
16:45
Authors : M. Di Sabatino, J. Busam, M. M`Hamdi, G. Stokkan
Affiliations : Dept. Materials Science and Engineering, NTNU, Trondheim, Norway; SINTEF Industry, Trondheim, Norway

Resume : The crystallization process of multicrystalline silicon ingots is one of the main steps to produce silicon-based solar cells. Quartz crucibles are coated with silicon nitride layer and filled with silicon feedstock. The silicon feedstock is melted and directionally solidified under inert gas atmosphere. The quartz crucibles and coating are one of the main sources for impurity contamination. A Norwegian National project named “CruGenSi-Crucibles for next generation high quality silicon solar cells” has been focusing on understanding and assessing the role of quartz crucibles on the final silicon quality. In this work, we will present some of the results from this project and will mainly present the impurity distribution in the quartz-silicon nitride-silicon system. The work presents both results from the crystallization and characterization experiments as well as numerical estimation of the impurity distribution. Glow discharge mass spectrometry (GD-MS) and laser-ablation inductively coupled mass spectrometry (LA-ICPMS) have been used to assess and quantify the impurities in the multicrystalline silicon ingots made in the project by using different types of quartz crucibles and crystallization process conditions.

W.8.2
17:15
Authors : David Uebel, Christian Ehlers, Thomas Teubner, and Torsten Boeck
Affiliations : Leibniz-Institut für Kristallzüchtung

Resume : Reduction of raw material is one of the largest cost-saving factors in the production of solar cells. For the development of ultra-thin wafers, mechanical instability during wafering has been identified as the central challenge. To produce cell-ready light-absorbing crystalline silicon layers, a novel crystal growth method is used. The bottom-up process can be used to realize specified thicknesses on different substrates. Mechanical stress introduces dislocations and thus deep level defects which are detrimental to electrical properties. We will demonstrate two approaches to resolve the problem of mechanical instability. Firstly, when using borofloat glass as substrate, the silicon layer does not carry any mechanical load. Alternatively, the growth on ultra-thin silicon films of 1 µm, which allows for thin wafer production without kerf, leads to reduced mechanical stress as well. Solution growth takes place close to the thermodynamic equilibrium and thus segregation and incorporation of dopants is well controllable. We will present electrical properties in regard of recent progress in the growth technique.

W.8.3
17:30
Authors : Siddhartha Garud, Cham Thi Trinh, Bernd Rech, Daniel Amkreutz
Affiliations : Institute for Silicon Photovoltaics, Helmholtz-Zentrum Berlin für Materialien und Energie GmbH, 12489 Berlin, Germany.

Resume : A bottom-up approach to creating silicon solar cells has the potential to not only avoid kerflosses incurring in wafer slicing, but also the energy involved in kerfless slicing techniques. Liquid-phase-crystallized silicon (LPC-Si) is one such approach in which amorphous/nanocrystalline silicon is deposited on glass up to a desired thickness (5-40 µm) and crystallized with a line-shaped energy source, which is a laser is this work. LPC-Si of ~14 μm has previously demonstrated power conversion efficiency up to 14.2 % despite illumination through glass, using a heterojunction interdigitated back contact cell architecture (HJ-IBC). The first part of this work reports efforts to create thicker heterojunction interfaces for better surface passivation. The second part covers laser firing on the electron contact. It enables a controllable trade-off between charge collection and fill-factor by creating a low resistance Ag-Si electron contact, while preserving a-Si:H (i) passivation in other areas. Short-circuit current density (JSC) was observed to be up to 33.1 mA/cm2, surpassing all previously recorded values for this technology. Open-circuit voltage (VOC) of up to 658 mV also exceeded every previous value published at a low bulk doping concentration of 1 x 10^16 cm-3. Laser firing reduced JSC by 0.6 mA/cm2 on average but improved fill-factor (FF) by 22.5 % absolute on average, without any significant effect on VOC. Collectively, these efforts have helped achieve a new record efficiency for LPC-Si of 15.1 % with 31.4 mA/cm2 JSC, 645 mV VOC and 74.7 % FF. The best cell in terms of JSC and VOC lacked only in series resistance and shows the potential to reach 16 % efficiency in the near future. Therefore, current efforts are focused on creating larger electron contact widths and heterojunction layers optimised for lower contact resistance.

W.8.4
17:45
Authors : Ismo T.S. Heikkinen, Marko Yli-Koski, Hele Savin
Affiliations : Department of Electronics and Nanoengineering, Aalto University, Tietotie 3, FI-02150 Espoo, Finland

Resume : Light-induced degradation (LID) can occur in crystalline silicon due to various bulk defects or the degradation of surface passivation. The root cause behind surface-related degradation is not yet fully understood, and research efforts are now being directed towards understanding its mechanisms. Cu is a deleterious contaminant in Si that causes severe and non-recoverable LID, reportedly due to its precipitation in Si bulk. Additionally, Cu is known to easily diffuse to the surface of silicon. Here, we study if Cu contamination can cause surface-related degradation under illumination at elevated temperature using QSSPC measurements, PL imaging, and saturation current density analysis. We observed a significant increase of surface recombination in Cu-contaminated samples after illumination at elevated temperature (LED lamp, 0.5 Suns, 80°C). Surface saturation current density increased from 16 to 370 fA/cm2 for Cu-contaminated FZ Si, and from 15 to 220 fA/cm2 in the case of similarly contaminated Cz Si. In reference samples without Cu contamination, the surface saturation current was unaffected. The results thus confirm that Cu contamination in crystalline silicon can lead to significant surface-related degradation. Studies focusing on surface related degradation should be conducted with particular care to ensure that unintentional Cu contamination does not influence the conclusions drawn from the results.

W.8.5
18:00
Authors : Daniel Ory (1,2), Laurent Lombez (3)
Affiliations : (1) EDF R&D, France ; (2) Institut Photovoltaïque d’Ile de France (IPVF), France ; (3) Laboratoire de Physique et Chimie des Nano-objets, France

Resume : The quasi-mono technology aims at combining the higher performance of the monocrystalline silicon and the lower cost of the multicrystalline one, by adding a pavement of monocrystalline seeds on the bottom of the crucible used during melting and crystallization of the silicon ingots1. However, the dislocations that spread across the quasi-mono process as well as the iron that diffuses from the crucible are the main inconvenient of this technology. In this study, we characterize various properties of quasi-mono silicon solar cells. We show that the iron concentration and the dislocation density have an additive and not multiplicative effect on the performance of silicon solar cells. From spectral electroluminescence images we show also that they have a separate effect on the recombination currents in a 2 diodes model. We make use of biased electroluminescence imaging in different ways. We show by calculating the spatially resolved collection function that dislocations acts as barriers for the current in addition of being recombination centers. Moreover, it is shown that their detrimental effect has a much wider lateral effect than the diffusion length. This is expected to be a consequence of the accumulation of iron not only in dislocations but also in the far surroundings. We propose a new method that consists in fitting biased electroluminescence images to give a new insight of iron and dislocations characterization. 1 Betekbaev et al, Semiconductors 50, 1085 (2016).

W.8.6
18:30 AWARD CEREMONY followed by SOCIAL EVENT    
Start atSubject View AllNum.
08:45 PLENARY SESSION 3    
09:45 Coffee break    
 
Session 9: Thin films, doping and growth : TBD
10:00
Authors : J. Slotte (1,2), A. Khanam (1), A. Vohra (3,4), A. Kirjasuo (2), I. Makkonen (5), C. Porret (3), E. Rosseel (3), A. Hikavyy (3), and R. Loo (3)
Affiliations : (1) Department of Applied Physics, Aalto University, P.O. Box 15100, FI-00076 Aalto, Finland; (2) Department of Physics, University of Helsinki, P.O. Box 43, FI-00014 University of Helsinki, Finland; (3) Imec vzw, Kapeldreef 75, 3001 Leuven, Belgium; (4) K.U. Leuven, Department of Physics and Astronomy, Celestijnenlaan 200D, 3001 Leuven, Belgium; (5) Department of Physics and Helsinki Institute of Physics, P.O. Box 43, FI-00014 University of Helsinki, Finland

Resume : When the dopant concentration is increased above 1019 cm-3 in Si and Ge, vacancy donor complexes are abundantly created, irrespectively of the growth method. These complexes will pose a challenge from a charge carrier standpoint, as dopants attached to vacancies do not contribute to the active carrier concentration. The vacancy complexes will form states in the band gap that trap charge carriers. Furthermore, in epitaxial layers these complexes are formed directly at or close to the surface. This makes it possible for vacancies to trap more dopants, since no migration is required in the formation process. Hence, at very high donor concentrations, compensation can or will be dominated by V-D4 complexes. We have used positron annihilation spectroscopy (PAS) to study compensating vacancy-donor complexes in P and As doped epitaxial layers of Si, Ge and GeSn. The experimental results are corroborated with DFT calculations. By varying the dopant concentrations and using PAS Doppler spectroscopy, the evolution of vacancy complexes is investigated. For all epitaxial layers, the dominating vacancy defect is found to be a monovacancy complex. Furthermore, the desired effect of Sn as a trap for vacancies and a possible solution to the compensation problem does not apply. DFT calculations indicate that although Sn binds to a vacancy, the As and P containing vacancy complexes are energetically favored over pure Sn-V complexes. These results are in good agreement with the PAS experiments.

W.9.1
10:30
Authors : Yuji Takakuwa
Affiliations : Institute of multidisciplinary Research for Advanced Materials, Tohoku University

Resume : A photoemission-assisted plasma-enhanced chemical vapor deposition process (PA-PECVD), in which DC discharge plasma is trigged by the photoelectrons emitting from the substrate (cathode) irradiated with UV light (hn = 7.2 eV), has been developed to synthesize diamond-like carbon (DLC) films with high deposition rate at low electric power consumption but without no soot deposition on the chamber wall. Because no photoabsorption of Ar, CH4, CO2 and N2 gases at hn = 7.2 eV, PA-PECD is available in the wide gas pressure range of 0.01~10 kPa, making it possible to decrease the ion energy impinging on the substrate from 100 to 0.1 eV. The reason is that the ion energy is given by multiplying the mean free path of a ion and the electric field of a ion sheath. For the gate stack of the top-gate graphene channel field effect transistor (G-FET), in which a DLC film with a controlled dielectric constant is employed in place of SiO2 and/or HfO2 to avoid damages on the graphene with CO/CO2 desorption during the deposition, a DLC film with a thickness of 48 nm was precisely synthesized under the PA-Townsend discharge mode with a plasma power of 0.012 W, enabling us to achieve ambipolar characteristics of G-FET [1]. To reduce the access resistance of G-FET by injecting holes to the graphene, doping of O atom to DLC was successfully performed by PA-PECVD with Ar/CH4 mixed gas added with CO2 as a doping gas [2].The O-doped DLC layer is separated by the non-doped DLC layer from the graphene to remote the acceptor ion of O- from the carrier in p-type graphene sheet. Doping of N atom to DLC is also possible with N2 gas more than 10% of N concentration. The thermal stability of the DLC film synthesized by PA-PECVD is also considered, compared with that of the DLC film deposited by physical vapor deposition (PVD) with magnetron RF-sputtering [3]. [1] S. Takabayashi et al., Diamond Relat. Mater. 22, 118 (2012). [2] S. Takabayashi et al., Diamond Relat. Mater. 53, 11 (2015). [3] S. Takabayashi et al., Surf. Sci. 668, 29 (2018).

W.9.2
11:00
Authors : Noel Kennedy (1), Luke Eaton (1), Fintan Meaney (2), John Machale (2), Margarita Georgieva (3), Nikolay Petkov (3), Ray Duffy (2), James Connolly (4), Chris Hatem (5), Justin D. Holmes (1), Brenda Long (1).
Affiliations : (1) School of Chemistry & AMBER Centre, University College Cork, Cork, T12 YN60, Ireland; (2) Tyndall National Institute, University College Cork, Cork, T12 R5CP, Ireland; (3) Cork Institute of Technology, Bishopstown, Cork T12 P928, Ireland; (4) Applied Materials, Lee Maltings, Cork T12 R5CP, Ireland; (5) Applied Materials, Gloucester, Massachusetts 01930, USA.

Resume : Doping of group IV semiconductor materials has traditionally been carried out by ion implantation. For sub 10 nm semiconductor structures, this method of bombarding substrates, with high energy ions (phosphorus (P), arsenic (As), etc.) creates problems due to crystal damage and doping conformality. Monolayer doping (MLD), proposed as an alternative to ion implantation, provides a controlled, conformal dopant dose to a target substrate with no crystalline damage. However, n-type doping of Si to levels matching ion implantation has proven difficult for MLD with numerous publications encountering a limitation with P-doping at 2  1019 atm/cm3. As-doping reported by O’Connell et al, although demonstrating high carrier concentrations (1  1020 atm/cm3), utilized an extremely toxic arsenic precursor. In this study, we have developed a novel method of functionalizing Si surfaces with a commercially available molecule, arsanillic acid, for the purpose of As-MLD. A process for generating mixed monolayers of P and As will be presented. Atomic force microscopy (AFM) will show that the surface integrity has been maintained with little or no increase in surface roughness post-processing. X-ray photoelectron spectroscopy (XPS) quantifies various ratios of P:As mixed monolayers. Electrochemical capacitance voltage (ECV) and secondary ion mass spectroscopy (SIMS) demonstrate that the junction depth and carrier concentration (approaching 1 x 1020 atm/cm3) can be controlled by varying P:As.

W.9.3
11:15
Authors : Mao Wang (1, 2), A. Debernardi (3), Y. Berencén (1), R. Heller (1), L. Rebohle (1), W. Skorupa (1), M. Helm (1, 2), S. Prucnal (1), and Shengqiang Zhou (1)
Affiliations : (1) Helmholtz-Zentrum Dresden-Rossendorf, Institute of Ion Beam Physics and Materials Research, Bautzner Landstrasse 400, 01328 Dresden, Germany (2) Technische Universität Dresden, 01062 Dresden, Germany (3) CNR-IMM, sede Agrate Brianza, via Olivetti 2, I-20864 Agrate Brianza, Italy

Resume : n-type doping in Si by shallow impurities, such as P, As, and Sb, exhibits an intrinsic limit due to the Fermi-level pinning via defect complexes at high doping concentrations. Here, we demonstrate that doping Si with the deep chalcogen donor Te by nonequilibrium processing can exceed this limit and yield higher electron concentrations. In contrast to shallow impurities, the interstitial Te fraction decreases with increasing doping concentration and substitutional Te dimers become the dominant configuration as effective donors, leading to a nonsaturating carrier concentration as well as to an insulator-to-metal transition. First-principles calculations reveal that the Te dimers possess the lowest formation energy and donate two electrons per dimer to the conduction band. These results provide an alternative insight into the physics of deep impurities and lead to a possible solution for the ultrahigh electron concentration needed in today’s Si-based nanoelectronics. The work has been published at Phys. Rev. Applied 11, 054039 (2019).

W.9.4
11:30
Authors : Hiroshi Nohira, Eriko Shigesawa, Kentarou Sawano
Affiliations : Tokyo City University, 1-28-1 Tamazutsumi, Setagaya-ku, Tokyo, 158-8557, Japan Tel : +81-3-5707-0104

Resume : In recent years, SiGe and Ge have drawn considerable attention as a high mobility channel material toward next-generation complementary metal-oxide-semiconductor (CMOS) circuits. In particular, by inducing strain into Ge using Ge/SiGe hetero-structure, highly enhanced mobility which greatly exceed the bulk mobility have been reported [1, 2]. It is shown that Ge can be formed directly on a Si substrate by using a two-step growth method. Therefore, high-quality Ge-on-Si can be relatively easily manufactured. In this case, tensile strain is introduced into Ge due to the difference in thermal expansion between Ge and Si, but the amount of the strain is as small as about 0.2%. In order to obtain high mobility, it is necessary to generate larger strain. As one of the methods, strained SiGe on Ge-on-Si is expected. In addition, in order to realize a high mobility SiGe channel MOSFET, it is necessary to form the high-quality gate stacks and interfaces between a gate insulator film and a SiGe surface. There have been many reports on the formation of gate stacks on Ge[3-12]. In fact, the use of atomic layer deposition (ALD) or plasma oxidation has provided a high quality interface between Ge and the insulator film [8-10]. However, unlike Si, wet cleaning of Ge or hydrofluoric acid treatment does not provide a high-quality hydrogen-terminated surface, and there is concern about surface oxidation and impurity adsorption. Therefore, we have attempted direct ALD on epitaxially grown Ge and reported that the Ge/Al2O3 interface properties are improved [13]. In the case of SiGe, a high-quality hydrogen-terminated surface cannot be obtained by wet cleaning or hydrofluoric acid treatment. For this reason, oxidation or impurity adsorption on the SiGe surface is feared. In this study, for the application to strained SiGe channel MOSFET, an Al2O3 film was formed using ALD on epitaxially grown SiGe as a gate stack structure on SiGe, and the interface structure was evaluated. Two types of samples were prepared by two methods. An n-type Ge (100) substrate was used in this study. The Ge substrate was chemically cleaned with NH4OH solution and followed by a HF dip and de-ionized water rinse. The wet-cleaned Ge substrate was loaded into an MBE chamber and subjected to thermal cleaning at 600 °C for 10 min. Subsequently, a 50 nm thick SiGe layer (called epi-SiGe hereafter) was hetero-epitaxially grown on the Ge substrate at 300 °C. The as-grown sample was subsequently transferred to the vacuum-connected ALD chamber and an Al2O3 film was deposited by ALD (Sample (A)). For comparison, after the epitaxial growth of the SiGe, the sample was once taken out from the chamber and exposed to the atmosphere for 5 min. The sample was then reloaded into the ALD chamber and an Al2O3 film was deposited (sample (B)). ALD of Al2O3 films was carried out for 20 cycles with precursors of TMA and H2O at a substrate temperature of 300 °C. Chemical bonding states were examined by x-ray photoelectron spectroscopy (XPS). The Si 2p, Al 2p and Ge 3d photoelectron spectra, excited by monochromatic Al Kα radiation, were measured at a photoelectron take-off angle of 15°, 21°, 30°, 52° and 90° with an energy resolution of 0.37 eV and an acceptance angle of 3.3°, using an ESCA-300 manufactured by Scienta Instruments AB [14]. It was confirmed that the GeOx peak of the sample (A) transferred in a vacuum was significantly smaller than that of the sample (B) once exposed to the atmosphere. In particular, almost no Ge 4 peak [15, 16] arising from Ge in GeO2 was observed, indicating that oxidation of Ge was suppressed by transport in vacuum. It is considered that the GeOx peak in the sample (A) is derived from the interface between Al2O3 and SiGe. Also, comparing the Ge peak intensities, the intensity was higher in sample (B) than in sample (A). This difference is a reflection of the difference in the Al2O3 film thickness on SiGe, and corresponds to the sample (B) having a smaller Al2O3 film thickness. That is, it shows that the ALD deposition delay occurs in the sample (B). This is a phenomenon confirmed in previous studies on Ge surfaces [13]. This is presumably because OH groups are easily bonded to dangling bonds which can remain only on the clean epitaxial SiGe surface (sample (A)). On the other hand, it is considered that surface oxidation and impurity adsorption occurred by exposing the surface to the atmosphere after the epitaxial growth of SiGe, and it took time to form OH groups in ALD. Take-off angle dependence of photoelectron intensity of Al 2p, Si 2p, Ge 3d revealed that both sample (A) and Sample (B) have Al2O3/GeOx/SiGe structure. In summary, XPS analyses reveal that formation of GeO2 by natural oxidation can be almost avoided by ALD on the epitaxial SiGe, and it is indicated that the Al2O3/SiGe interface created does not contain the interfacial GeO2 layer which is present for the sample exposed to the air before ALD. These results clearly indicate that the direct ALD on epitaxial SiGe is very promising way to highly improve the SiGe MOSFET performances. Acknowledgments This work was partly supported by the MEXT Supported Program for the Strategic Research Foundation at Private Universities 2015–2019, a Grant-in-Aid for Scientific Research from MEXT and Interdisciplinary Research Center for Nano Science and Technology in Tokyo City University. References [1] T. Tanaka et al., Appl. Phys. Lett 100 (2012) 222102. [2] M. Myronov et al., Jpn. J. Appl. Phys. 53 (2014) 04EH02. [3] A. Dimoulas et al., Appl. Phys. Lett., 86 (2005) 032908. [4] C. O. Chui et al., IEEE Trans Electron Dev., 53 (2006) 1501. [5] M. -K. Bera et al., Semicond. Sci. Technol., 22 (2007) 1352. [6] V. V. Afanas’ev et al., Appl. Phys. Lett., 87 (2005) 032107. [7] R. Zhang et al., J. Electro. Soc. 158(2) (2011) 178. [8] R. Zhang et al., Appl. Phys. Lett. 98 (2012) 112902. [9] R. Zhang et al., IEEE Trans. Electron Dev., 61 (2014) 416. [10] M. Kanematsu et al., Jpn. J. Appl. Phys. 55 (2016) 08PC05. [11] C. Lu et al., Appl. Phys. Lett. 104 (2014) 092909. [12] K. Kato et al., Jpn. J. Appl. Phys. 52 (2013) 04CA08. [13] E. Shigesawa et al., Semicond. Sci. Technol., 33 (2018) 124020. [14] U. Gelius, et al., J. Electron Spectrosc. Relat. Phenom. 52, 747 (1990). [15] A.Ohta et al., Jpn. J. Appl. Phys. 50 (2011) 10PE01. [16] K. Prabhakaran et al., Surf. Sci. 325 (1995) 263.

W.9.5
11:45
Authors : Andriy Hikavyy1, Clement Porret1,Erik Rosseel1, Anurag Vohra1,2 and Roger Loo1
Affiliations : 1 Imec vzw, Kapeldreef 75, B-3001 Leuven, Belgium 2 K.U. Leuven, Celestijnenlaan 200D, B-3001 Leuven, Belgium

Resume : After a long period of research and development high mobility Ge-based materials are being introduced as channel materials of pMOS transistors targeting 5nm node and below [1, 2]. Due to an aggressive scaling, transistors source/drain (S/D) areas are reduced dramatically along with the channel, which has a strong impact on epitaxial layers quality, strain introduction in the channel, contact resistivity, parasitic capacitances, etc. Although standard S/D materials such as SiGe:B and Si:P are being intensively used by the semiconductor industry, other options are being investigated in order to improve the contact resistivity. In the case of Ge based n-MOS transistors SiGe:P attracts attention since it allows a defect free growth on the Ge starting surface, the introduction of tensile stress in the Ge channel and comparably low Rc if sufficiently doped. In this contribution we focus on SiGe:P growth at a very low temperature of 400oC in view of Ge-based devices processing and further 3D device integration which require a considerable reduction of thermal budget. We show that maximum achievable active P concentration (~1e20cm-3) of as grown layers strongly depends on the Ge concentration with the optimal Ge concentration around 45%. For other Ge concentrations achievable P concentration is lower. However, it can be significantly improved by means of post epi laser annealing which eventually allows to vary Ge concentration in a broad range of 30 – 70%. Finally, we will demonstrate a selective epitaxial growth, obtained by applying a cyclic deposition and etch routine using Cl2 as and etchant gas. [1] E. Capogreco et al., Symposium on VLSI Technology, 2019, pp. 94 [2] G. Yeap, et al., IEDM 2019, pp. 879

W.9.6
12:00
Authors : N. CHERY(1,2), V. PAILLARD(1), J. M. POUMIROL(1), M. ZHANG(1), C. MAJOREL(1), C. GIRARD(1), E. SCHEID(2), R. MONFLIER(2), N. MALLET(2), G. LARRIEU(2), S. KERDILES(3), P. ACOSTA-ALBA(3), A.-S. ROYET(3), A. GIBA(4), H. RINNERT(4), C. BONAFOS(1), and F. CRISTIANO(2)
Affiliations : (1) CEMES-CNRS, Université de Toulouse, 29 Rue Jeanne Marvig, 31055 Toulouse, France (2) LAAS-CNRS, Université de Toulouse, 7 avenue du Colonel Roche, 31400 Toulouse, France (3) LETI-CEA, 17 Avenue des Martyrs, 38054 Grenoble, France (4) IJL-CNRS, Université de Lorraine, BP 70239, F-54506 Vandœuvre-lès-Nancy, France

Resume : The appearance of localized surface plasmon resonance in highly doped Si nanocrystals (NCs) has opened a new field of applications for Si [1]. However, doping nano-Si remains a challenge due to self-purification effects [2]. If most of the highly doped Si-NCs are elaborated by bottom up processes [1], new routes involving top down approaches, as low energy electron lithography of heavily thin doped SOI wafers, can be appealing. In this case, a prerequisite step consists in the optimization of the top Si layer doping process. In this work, a thorough study of the doping of SOI wafers is described. UV nanosecond laser annealing (UVNLA) is used to achieve an efficient dopant activation [3]. 23 nm thick SOI top Si layers have been implanted with Phosphorus at 4 keV for different high doses and the LTA conditions have been optimized by numerical simulations [4]. The recrystallisation processes and P redistribution in the Si layer have been investigated as a function of the laser energy density by STEM-HAADF/EDX. Active dopant concentrations as high as 2 at.% have been measured by Hall effect and deduced from FTIR measurements coupled with Drude model based calculations. Pile-up of P atoms at the surface has been observed together with a complete crystallization and a decrease of sheet resistance for optimized LTA conditions. [1] D. J. Rowe et al. Nano Lett. 13, 1317 (2013) [2] I. Marri et al. Prog. Surf. Sci. 92, 375 (2017) [3] K. Huet et al. MSSP 62, 92 (2017) [4] A.S. Royet et al. SISPAD (2019)

W.9.7
12:15 Lunch    
 
Session 10: Silicon contacts, passivation and gettering : TBD
14:00
Authors : Jimmy Melskens, Bart Macco, Erwin Kessels
Affiliations : Department of Applied Physics, Eindhoven University of Technology, P.O. Box 513, 5600 MB Eindhoven, The Netherlands

Resume : Surface passivation has proven to be crucial in improving the conversion efficiency of c-Si solar cells. In addition to the typical silicon-based passivating materials, many new materials including metal oxides, nitrides, and fluorides have recently been gaining attention. These materials are typically fabricated using a variety of techniques including atomic layer deposition, chemical vapor deposition, and evaporation. An overview of the recent work on oxide-based passivating nanolayers is presented here by discussing the exploration of new materials and how they can be fabricated, by reviewing the working mechanism of the novel passivation schemes, and finally by outlining the prospects of this family of novel passivating materials. Although surface passivation generally involves a combination of chemical and field-effect components, it is not fully clear whether and when a particular material can provide surface passivation. It is however known that the passivation quality can be strongly affected by (i) the presence of any interfacial layer that can be present between the passivating material and the c-Si surface, (ii) the passivating layer thickness, (iii) the presence of active dopants in the film, (iv) post-deposition annealing treatments, and (v) the presence of capping layers. The influence that these factors have on the passivation quality varies from material to material and will be discussed in this review to assess their potential as passivation material for c-Si.

W.10.1
14:30
Authors : Raphael Glatthaar, Jonathan Steffens, Johannes Rinder, Giso Hahn, Barbara Terheiden
Affiliations : Universität Konstanz

Resume : Passivating contacts based on the poly-Si/SiOx structure have been successfully used in high efficiency PV devices for some time. To reduce parasitic absorption in the poly-Si layer, when implemented in particular on the front side of a solar cell, we incorporated O and N during PECVD (p) a-Si:H deposition. Additionally, a sufficiently high concentration of O and N prevents the known occurrence of blister formation during crystallization to (p) poly-Si. As a reduced conductivity is observed for higher O and N contents, the B content was increased during PECVD (p) a-Si:H deposition. For both variations, spectroscopic ellipsometry data are used to quantify the parasitic absorption in (p) poly-SiOxNy in terms of a loss in current density. In addition, Raman spectroscopy data indicates stronger parasitic absorption for lower crystallinities of polysilicon. In order to achieve a high crystallinity, the crystallisation step was varied by means of laser crystallisation (LC) and solid phase crystallisation (SPC). A variation of SPC by annealing duration and temperature leads to a maximum iVOC of 734 mV for a duration of 30 min and a temperature of 920°C on p-type FZ-Si. The passivation quality of (p) poly-SiOxNy with LC is comparable to SPC. Fano resonances and the Si-B bonds determined by Raman spectroscopy also indicate that (p) poly-SiOxNy crystallized by LC show more electrically active dopants than for SPC. However, a difference in conductivity is not observed.

W.10.2
14:45
Authors : Sylvain Le Gall, Alexandra Levtchenko, Rudi Brüggemann, Jean- Paul Kleider
Affiliations : Group of Electrical Engineering of Paris (GeePs), CNRS, CentraleSupélec, Univ. Paris-Saclay, Sorbonne Université, 11 rue Joliot-Curie, Plateau de Moulon 91192 Gif-sur-Yvette, France.

Resume : Using planar conductance measurements, we have investigated a set of (p) a-Si:H/(i) a-Si:H/(n) c-Si heterostructures where the thickness of the (i) a-Si:H buffer layer is varied between 2 and 50 nm, well beyond the values used in heterojunction solar cells. From measurements performed at room temperature and using 1D analytical calculations and 2D electrical modeling, we could demonstrate that the deep defect density related to silicon dangling bonds in the (i) a-Si:H layer strongly increases from 1×1017 to 4×1018 cm-3 when the (i) a-Si:H layer thickness is decreased from 50 to 2 nm. This result was interpreted in terms of defect formation and dependence of the defect density upon the position of the Fermi level with respect to the valence band edge. Moreover, quantitative analysis in the framework of the defect-pool model demonstrates that the strong increase of defect density is also promoted by an increase in the width of the valence band tail in the thin (i) a-Si:H layer, suggesting that a very thin layer also suffers from increased disorder. We here extend the measurements and analyses to the temperature dependence of the planar conductance. These confirm the trend observed from room temperature measurements and also reveal features specific to the a-Si:H/c-Si interface.

W.10.3
15:00
Authors : Mathieu Boccard, Luca Antognini, Jan Haschke, Minh Truong, Vincent Paratte, Florent Sahli, Quentin Jeangros, Christophe Ballif
Affiliations : EPFL - PV-lab, Neuchâtel, Switzerland

Resume : Nanocrystalline silicon (nc-Si) is a noteworthy material for photovoltaics as both p-type and n-type thin-films can be grown at low temperature, with a high doping efficiency and a low activation energy (compared to amorphous silicon). Beyond making an excellent contact in Si heterojunction cells, it enables simple-processed and high-performance back-contacted Si solar cells and perovskite/Si monolithic tandem devices. Using p-doped nc-Si as window layer yields 23.5%-efficient c-Si heterojunction cells. Detailed analysis reveals that the contact resistance below 100 mOhm.cm2, for this hole contact unlocks novel charge-transport phenomena. We will then discuss the effect of the boron gas precursor on the film structural and optoelectronic properties, and link these to device performance. Combining an optimized film with a tailor-made transparent electrode stack enables record efficiencies with a current density approaching 41 mA/cm2. Nc-Si layers also form efficient recombination junctions, with n/p stacks showing a transverse resistance below 50 mOhm.cm2. Such stack makes an efficient electron-selective contact in interdigitated-back-contact cells, for which only the n-type layer is patterned and the p-type layer deposited over the full area. In perovskite-silicon tandems, this nc-Si recombination junction is key to fabricate fully-textured devices. We will discuss how fine tuning of the properties of the nc-Si films enables specific functionalities unlocking efficiencies >25%.

W.10.4
15:15
Authors : Meric Firat (1, 2), Hariharsudan Sivaramakrishnan Radhakrishnan (2), Maria Recaman Payo (3), Filip Duerinckx (2), Rajiv Sharma (1, 2), Jef Poortmans (1, 2, 4)
Affiliations : (1) KU Leuven, Department of Electrical Engineering, Kasteelpark Arenberg 10, 3001 Leuven, Belgium (2) Imec (Partner in EnergyVille), Kapeldreef 75, 3001 Leuven, Belgium (3) KU Leuven, Department of Physics and Astronomy, Celestijnenlaan200d, 3001 Leuven, Belgium (4) UHasselt, 3590 Diepenbeek, Belgium

Resume : Phosphorus (P) doped polysilicon (poly-Si) and interfacial SiOx stacks have recently been introduced in industrial Si solar cells for providing excellent contact passivation. While LPCVD enables the growth of poly-Si films with high throughputs, simpler manufacturing is possible by replacing the additional step for ex situ P doping of the poly-Si with an in situ approach, i.e. by introducing PH3 during deposition, which is the focus of this work. An important issue of doping poly-Si in situ is the difficulty of achieving an active P concentration (ND,act) >1e20 cm-3 for minimizing contact resistivity and surface recombination. This problem arises from P desorption and electrical deactivation of P due to segregation to poly-Si grain boundaries, and was addressed by studying the impact of LPCVD parameters (deposition temperature (Tdep), H2 flow (QH), and PH3 flow (QP)) on ND,act and the poly-Si structure by SIMS, ECV, µ-Hall, and XRD measurements. The findings showed that reducing Tdep and QH enhances ND,act, due to reduced P desorption. Under these conditions, an optimal QP was found to yield an ND,act of 1.3e20 cm-3, beyond which ND,act decreases due to an increase in grain boundary density and associated P segregation. Lastly, minority carrier lifetime measurements showed that higher ND,act, annealing, and hydrogenation by SiNx improve the passivation quality of the poly-Si, resulting in an excellent recombination current density of 1.7 fA/cm2 on saw-damage etched wafers.

W.10.5
15:30
Authors : Annika Zuschlag, Johannes Fichtner, Martin Fleck, Giso Hahn
Affiliations : Department of Physics, University of Konstanz, 78457 Konstanz, Germany

Resume : Atmospheric pressure chemical vapor deposition (APCVD) allows one-sided deposition of doping glasses and separation of the doping glass deposition at moderate temperatures (T) from the high temperature diffusion step. Several high T steps are usually necessary to build emitter and back surface field of advanced Si based solar cells. Following the APCVD approach, differently doped APCVD glasses were deposited on the Si sample surfaces followed by a single high T co-diffusion step. It is even possible to directly stack samples with APCVD doping glasses during high T steps, leading to a higher throughput and a cost efficient approach for advanced industrial relevant solar cell concepts based on c-Si. We demonstrate that APCVD approaches are on par with well-established techniques for emitter and back surface field formation regarding dopant concentration, uniformity of sheet resistivity, and dopant profiles. Other beneficial effects like gettering of impurities play also a crucial role. The influence of each APCVD based process step and its temperature load on the material quality are studied on multicrystalline Si material based on minority charge carrier lifetime, interstitial iron concentration, as well as etch pit density. Besides the high temperature diffusion gettering a low temperature gettering takes place during APCVD doping glass deposition. The gettering efficacy of APCVD based processes is able to compete with those of e.g. standard POCl3 diffusion.

W.10.6
16:00
Authors : Maxim Hayes*(1,2), Camille Oliveau(1), Sébastien Dubois(1), Benoit Martel(1), Olivier Palais(2).
Affiliations : (1) Uni. Grenoble Alpes, INES, F-73375 Le Bourget du Lac, France ; CEA, LITEN, Département des Technologies Solaires, F-73375 Le Bourget du Lac, France. (2) Aix Marseille Université, IM2NP UMR CNRS 7334, Campus Saint-Jérôme, Case 142, 13397 Marseille Cedex 20, France * lead presenter

Resume : There is a growing interest in silicon (Si) solar cells integrating passivated contacts. Highly doped polycrystalline Si (poly-Si) on ultra-thin oxide passivated contacts have recently been highlighted because their integration at the rear surface of a high quality single-crystalline Si solar cell allowed a record efficiency of 25.7% for a double-side contacted device. However, only a few studies have been conducted to investigate the interactions between poly-Si passivated contacts and lower quality, cheaper Si wafers. The new generation of cast materials obtained by seed-assisted directional solidification represents significant levels of cost and carbon-footprint reductions since they feature better quality and homogeneity than conventional seedless cast ingots. Despite higher structural defects and impurities densities than in Czochralski Si, high effective carrier lifetimes can be obtained, especially after an external gettering step. The latter is conventionally conducted during a phosphorus thermal diffusion step in the mainstream p-type Si solar cell fabrication process. In this work, we aim at (1) assessing the gettering efficiency of various poly-Si passivating contact fabrication processes; (2) evaluating the compatibility of low cost Si materials and passivating contacts cell architectures. Preliminary results reveal important gettering actions for both p- and n-type poly Si layers; which led to promising effective lifetimes obtained with mono-like Si substrates.

W.10.7
16:15 Coffee break    
 
Poster session II : John Murphy, Chioko Kaneta, Gudrun Kissinger, Deren Yang
16:30
Authors : Aida Darghouth, Selma Aouida, Brahim Bessais
Affiliations : Laboratoire de Photovoltaïque, Centre de Recherches et des Technologies de l’Energie, Technopôle de Borj-Cédria, Hammam-Lif, 2050, BP 95, Tunis, Tunisia

Resume : Tunisian sand is a highly silica rich material. In some regions, its purity in quartz exceeds 99%. This abundant and low cost raw material could be used in the synthesis of silicon. In this work, we have produced high purity crystalline silicon nanopowder by magnesiothermic reduction of Tunisian silica sand. A pretreatment of the sand raw material was adopted to obtain the silica nanopowder (SiO2-NPs). Magnesiothermic reduction of SiO2-NPs was performed into a stainless steel reactor under argon gas flashing at 650°C for 2h. The reduction was followed by a 2 steps leaching process. After the first leaching, magnesium by products (MgO and Mg2Si), were eliminated creating voids in the silicon structure. The second step was carried out in order to leach out silicates and unreacted SiO2-NPs. These results are confirmed by XRD and FTIR investigations. A polycrystalline silicon structure is determined in XRD pattern. The principals peaks associated to silicon are around 2θ=28.43°, 2θ=47.29° and 2θ=56.12° corresponding respectively to (111), (220) and (331) phases of Si with a maximum intensity of the (111) peak. Silicon FTIR spectrum present a peak at around 619 cm-1 associated to the stretching vibrational mode of bulk Si-Si bond.

W.P2.1
16:30
Authors : Prakash Pitchappa1, 2, Abhishek Kumar1, 2, Haidong Liang,3,4, Saurav Prakash4, 5, Nan Wang6, Andrew A Bettiol,3 Thirumalai Venkatesan3,4,5,7,8, Lee Chengkuo7 and Ranjan Singh1, 2
Affiliations : 1Division of Physics and Applied Physics, School of Physical and Mathematical Sciences, Nanyang Technological University, 21 Nanyang Link, Singapore-637371; 2Centre for Disruptive Photonic Technologies, The Photonic Institute, 50 Nanyang Avenue, Singapore-639798; 3Centre for Ion Beam Applications (CIBA), Department of Physics, National University of Singapore, Singapore-117542; 4NUSNNI-NanoCore, National University of Singapore, Singapore-117411; 5NUS Graduate School for Integrative Science and Engineering, National University of Singapore, Singapore-117456; 6Insititue of Microelectronics, Agency for Science, Technology and Research, Singapore; 7Department of Electrical and Computer Engineering, National University of Singapore, Singapore-117583; 8Department of Materials Science and Engineering, National University of Singapore, Singapore-117575

Resume : Multidimensional manipulation of electromagnetic waves across space and time have led to the recent demonstration of various exotic optical properties, such as linear frequency conversion, dynamic wavefront control, unidirectional transmission and electromagnetic Doppler cloak. Here, we show advanced manipulation of terahertz waves in the spectral and temporal domains through integration of microelectromechanical systems with ultrafast optical control. A large and continuous spectral tunability is achieved through the structural reconfiguration of microcantilevers responsive to current stimulus. While the ultrafast resonance modulation is realized through photoexcitation of ion-irradiated silicon substrate, hosting the microcantilever metamaterial. The metadevice provides a resonance spectral tunability of 0.25 THz with 100 % resonance modulation at each of the resonance frequency. The overall switching time of the resonance modulation was 400 ps, with potential scalability to few picosecond timescales. The proposed approach of integrating perfectly complementing technologies of microelectromechanical systems (MEMS), femtosecond optical pulse control and ion-irradiation with metamaterials offer unique features that allows for the higher order wave manipulation. This possibility of concurrently manipulating the space, time and spectral response of metamaterials will lead to the realization of novel photonic devices such as colour tunable spatiotemporal modulators, dynamic beam steerers and low-power frequency converters for the next generation terahertz wireless communication systems.

W.P2.2
16:30
Authors : Takuya Kusunoki, Koji Sueoka, Wataru Sugimura, Masataka Hourai
Affiliations : Graduate School of Engineering Okayama Pref. Univ., Okayama Pref. Univ., SUMCO COPRATION

Resume : Control of intrinsic point defect at the atomic level is required for Si substrates to satisfy the performance demands of recent semiconductor devices. N-doping technology during Czochralski (CZ) Si crystal growth is known to improve the quality of Si crystals. It has recently been reported that doping hydrogen (H) atoms during CZ-Si crystal growth affects the point defect behavior in two ways: (i) Si crystal becomes more vacancy (V) rich and (ii) the formation of dislocation clusters is suppressed. The finding of (ii) suggests that H-doping is a promising technology for mass production of Si substrates used such as power devices. However, the effect of H-doping on point defect behavior during Si crystal growth is not yet fully understood. In the present study, first principles calculation using a cubic model of 64 Si atoms was performed. Stable positions and formation energies of H atoms were obtained by optimizing the atomic configuration including H atom at various interstitial positions. Also, V or I was arranged around the interstitial H atom, and the influence of H on the formation energy of point defects was investigated. The number of point defects incorporated at the melt/solid interface was calculated from the results. The main results are as follows. (1) The most stable position of H atom in the Si crystal is B-site, and the metastable positions are T-site and S-site. (2) The formation energy of both V and I decreases around the H atom. (3) There are three H atom configurations where the V formation energy decreases and two where the I formation energy decreases. In the symposium, we will also discuss the dependence of the thermal equilibrium concentration of V and I on H concentration at the melting point.

W.P2.3
16:30
Authors : Yutaka Ohno [1], Kazuya Tajima [2], Kentaro Kutsukake [3], Noritaka Usami [2]
Affiliations : [1] Institute for Materials Research, Tohoku University, Katahira 2-1-1, Aoba-ku, Sendai 980-8577, Japan; [2] Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya, 464-8603, Japan; [3] RIKEN, 2271-130 Anagahora, Shimoshidami, Moriyama-ku, Nagoya, Aichi 463-0003, Japan

Resume : More than 50% of commercial solar cells are fabricated with multicrystalline silicon (mc-Si) with lower purity and perfectness, grown via high-throughput directional solidification at a low cost. Many dislocation clusters acting as recombination centers are frequently generated during the crystal growth, and they degrade the macroscopic electric properties around them, which is much inferior to that in monocrystalline Si solar cells. Recently, three-dimensional (3D) distribution of dislocation clusters is visualized in a high-performance mc-Si ingot for commercial solar cells by photoluminescence image processing [1], and it is proposed that some triple junctions of grain boundaries (GBs) would be related to the generation of dislocation clusters. In the present work, we have examined the triple junctions to discuss the generation mechanism of dislocation clusters in terms of nanoscopic structural properties including the nature and distribution of GBs and dislocations. Dislocation clusters degrading the electric properties are frequently generated nearby triple junctions of GB, accompanied with the movement of the triple junctions. Those dislocations propagate towards different directions, forming small angle tilt boundaries and dislocation networks. Additional slip system would be operated when the complicated dislocation clusters are formed. The origin of their generation and propagation will be discussed. [1] Y. Hayama, et al., Sol. Energy Mat. Sol. Cells 189 (2019) 239.

W.P2.4
16:30
Authors : Yujia Liu, Cedric Corley, Wolfgang Klesse, Felix Lange, Thomas Teubner, Torsten Boeck
Affiliations : Yujia Liu, Felix Lange, Thomas Teubner, Torsten Boeck: Leibniz-Institut für Kristallzüchtung (IKZ), Max- Born-Straße 2, 12489 Berlin, Germany; Cedric Corley, Wolfgang Klesse: Leibniz-Institut für innovative Mikroelektronik (IHP), Im Technologiepark 25, 15236 Frankfurt (Oder), Germany

Resume : SiGe/Si/SiGe heterostructures are promising to build solid-state based quantum computers with the highest scalability of qubit numbers. The qubit in this system is realized with the spin of the electron, which is confined in the Si quantum well. The manipulation of the electron is based on the valley splitting of the Si covalence band by applied voltage. Highly enriched isotopic 28Si is preferred applied in the heterostructure, especially in the thin strained Si layer to suppress the decoherence effect from the nuclear spin of 29Si. However, there are some challenges in the heterostructure growth for the integrable qubit realization. One of them is the control of the Si quantum well interface roughness, because the reduction of single atomic steps at the 28Si quantum well interfaces is one of the key factors to enhance valley splitting. Here, we present a SiGe/Si/SiGe heterostructure grown both with MBE and CVD techniques in order to reduce the interface roughness. Firstly, a strain free SiGe layer is grown on commercial Si substrate by CVD to form a so-called virtual SiGe substrate. Afterwards 28SiGe/28Si/28SiGe stacks are grown by MBE, which has the advantage of slow growth rate, absence of carrier gas and convenience to realize isotopic purity.

W.P2.6
16:30
Authors : Daniel Ory(1,2), Thomas Bidaud(5), Stéphane Collin(3,5), Laurent Lombez(4)
Affiliations : (1) EDF R&D, France ; (2) Institut Photovoltaïque d’Ile de France (IPVF), France ; (3) CNRS, Ecole Polytechnique, UMR IPVF, France ; (4) Laboratoire de Physique et Chimie des Nano-objets, France ; (5) Centre de Nanosciences et de Nanotechnologies (C2N), CNRS, Université Paris-Saclay

Resume : The quasi-mono technology aims at combining the higher performance of the monocrystalline silicon and the lower cost of the multicrystalline one, by adding a pavement of monocrystalline seeds on the bottom of the crucible used during melting and crystallization of the silicon ingots1. However, the dislocations that spread across the quasi-mono process and degrade their performance is one of the main inconvenient of this technology. We make use of the D1/D2 luminescence spectral range (from 1400nm to 1700nm) of dislocations2 in quasi-mono silicon to access geometrical tilt and opto-electronic recombination properties in their vicinity. The angle between dislocation and surface was determined thanks to the spatial asymmetry of the PL intensity in the regions close to the dislocations. Our optical model relies on classical recombination-diffusion continuity equation as well as simple optical absorption and light propagation properties. Carrier transport properties such as non-radiative lifetime or surface recombination velocity around that location are also investigated. The model is discussed with a parameter study and the unicity of the extracted data set has been confirmed. In addition, we compare cathodoluminescence and photoluminescence spectrums at different temperature and show the defect properties involved in the recombination of carriers around the dislocations. 1 Betekbaev et al, Semiconductors 50, 1085 (2016). 2 Drozdov et al, Phys. Status Solidi B 83, K137 (1977).

W.P2.7
16:30
Authors : Zhongnan Guo, Jiawei Lin, Wenxia Yuan
Affiliations : Department of Chemistry, University of Science and Technology Beijing

Resume : Two new semiconductors Cs2Cu2GeS4 and Cs2Cu2GeSe4 were successfully synthesized by using self-flux method. The structure was determined by single-crystal diffraction. These two compounds crystallizes in the monoclinic lattice of space group C2/c (No. 15) with unit cell of a = 7.6131(6) Å, b = 23.1860(17) Å, c = 5.8644(5) Å, β = 113.198(3)° for Cs2Cu2GeS4 and a = 7.8249(13) Å, b = 24.006(4) Å, c = 6.0279(10) Å, β = 113.529(6)° for Cs2Cu2GeSe4. Cs2Cu2GeSe4 shows a quasi-one dimensional structure which comprises of GeSe4 and CuSe4 tetrahedron through edge-sharing to ribbons along the c direction. Cs2Cu2GeS4 is structurally related to the selenide, which contains the isolated GeS4 but has two kinds of coordination of Cu+ ions and GeS4 is connected by 2- and 4-coordinated Cu+ to form the chains (shown in Figure 1). These quasi-one dimensional (Cu2GeS4)2- and (Cu2GeSe4)2- chains are separated by the Cs+ ions in structure. These two compounds are semiconductors with indirect band gap of 2.3 eV and 1.7 eV for sulfide and selenide respectively, which are derived from the optical absorption spectrum. First principles calculation reveals that the valence band of two compounds is contributed by the Cu 3d orbitals, while the conduction band is mainly composed of Ge 4s orbitals for Cs2Cu2GeS4 but Se 4p for Cs2Cu2GeSe4, resulting from the different Cu coordination in these two compounds. Subsequent characterization indicates that these two new semiconductors are potentially suitable for solar photocatalytic and photoelectric applications.

W.P2.8
16:30
Authors : Khirunenko, L.I.*(1), Sosnin, M.G.(1), Duvanskii A.V.(1), Abrosimov, N.V.(2), Riemann, H.(2)
Affiliations : (1) Institute of Physics, National Academy of Sciences, Ukraine (2) Leibniz-Institut für Kristallzüchtung, Germany

Resume : Silicon doped with boron (Si:B) is the main material in the manufacture of solar cells. Development of new more efficient converters requires the detailed knowledge of the influence of the boron on the defect-impurities interaction appearing both during the technological stages of cells making and during their operation. We report the new data on the electronic absorption of interstitial boron-related defects in silicon irradiated with 5 MeV electrons. In boron-doped Si irradiated at 80 K, we have revealed the electronic absorption line at a frequency of 4296.8 cm–1. The intensity of detected line grows with the increasing boron concentration. The investigations show that the formation of defect responsible for the revealed line is independent of the presence of oxygen and carbon in the samples, i.e., the composition of a found defect does not involve these impurities. The intensity of the 4296.8 cm–1 line in oxygen-rich silicon is much lower than in the oxygen-lean material at the equal initial boron contents. This testifies that oxygen is the main competitor in the formation of a registered defect. The study of the thermal stability of the revealed defect shows that the disappearance of detected line at the annealing is accompanied by the synchronous development in spectra of the absorption line associated with the interstitial boron+substitutional boron (BiBs) complex. The revealed defect is identified as a precursor of a stable configuration of the BiBs defect.

W.P2.9
16:30
Authors : Zhenxu Lin*, Jie Song, Zewen Lin, Yi Zhang, Hongliang Li, Wenxing Zhang, Rui Huang
Affiliations : School of Materials Science and Engineering, Hanshan Normal University, Chaozhou, 521041, China

Resume : Inorganic cesium lead halide pervoskite (CsPbX3, X=Cl, Br, and I) quantum dots (QDs) have attracted much attention nowdays for their promissing optoelectronic application, especially in the field of light emitting diodes (LEDs) and high-definition displays, owing to their high quantum yields (QYs), finely tunable and narrow emission spectra. However, the poor stability of CsPbX3 QDs directly on exposure to moisture, oxygen, UV radiation, and high temperature has been an inherent barrier to their practical applications. In particular, the luminescent degradation of the drop casting or spin coating thin CsPbX3 QDs film deteriorate more quickly as suffering from such conditions becaue of their much higher surface to volume ratio. More recently, in order to improve the environmental stability and modulate the luminescent behavior of CsPbX3 QDs film, encapsulation of CsPbX3 QDs in inorganic matrices is one of the effective method and has been widely applied and studied. Effective encapsulation can prevents the CsPbX3 QDs contacting each other as well as protect the CsPbX3 QDs from environmental damage. In our previous report, we also fabricated highly luminescent and stable CsPbBr3 QDs/a-SiNx:H thin films on a glow discharge plasma system with realtime and in situ diagnostics. It is interesting to find that the PL intensity of the film increase more than 5-folds after UV illumination for 80 days and then remain stable after UV illumination for 140 days. However, in addition to realizing the long-term stability of CsPbX3 QDs, the CsPbX3 QDs generally suffer from surface destruction and ligand loss during the direct synthetic formation process of protective layer, which directly displays as the degradation of PL intensity. Especially, this phenomenon is more serious as increasing the synthesized temperature of protective film. Consequently, effective encapsulation strategies which can maintain the original outstanding luminescence of CsPbX3 QDs in the synthetic process have not yet been achieved. In this work, a damage free encapsulation method for encapsulating CsPbBr3 QDs film based on the real time glow discharge plasma and in situ diagnostics has been successfully developed. An methane plasma which has less destructive effects on CsPbBr3 QDs is used in plasma enhanced chemical vapor deposition to produce a-SiCx:H encapsulating layers on the CsPbBr3 QDs. It is intteresting to find that the growth process of the a-SiCx : H encapsulating layer has nearly negligible damage impact on the CsPbBr3 QDs and is more suitable for encapsulating the CsPbBr3 QDs. The a-SiCx:H encapsulating layers endow CsPbBr3 QDs with long-term stability during exposure to air, at a high temperature (205 °C in air), and in water. Our results reveal a practical way to design and fabricate highly luminescent as well as stable Si-based CsPbBr3 QD films for future development of optoelectronic devices based on inorganic perovskite QDs.

W.P2.10
16:30
Authors : B. Pusay, E. Almache, G. Masmitjà, E. Ros, I. Martín, J. Puigdollers, C. Voz, P. Ortega
Affiliations : Dept Enginyeria Electrònica Universitat Politècnica Catalunya

Resume : In recent years, there has been a widespread trend towards the use of alternative materials for the formation of selective contacts in crystalline silicon (c-Si) manufactured at room temperature. Several alternatives are used to avoid the high temperature diffusion process usually needed to fabricate the doping layers. Recently, the use of dopant-free materials based on MoOx and TiO2, has demonstrated excellent hole and electron selectivity. The use of TiO2 is an attractive option to form electron-selective contact, due to its small conduction- and large valence-band offsets, which allows an easy transport of electrons through the c-Si / TiO2 interface while blocking the holes. The introduction of an intermediate layer of SiO2 at the c-Si / TiO2 interface improves the quality of the selective contact reaching efficiencies up to 21.6%. The replacement of this high temperature SiO2 layer with other films deposited at low temperatures is an interesting objective. In this work, we study the properties of the Al2O3 / TiO2 stacks deposited by Atomic Layer Deposition at 125 C as electron transport layers. The objective is to use the optimized Al2O3 / TiO2 stacks as selective contacts in c-Si (n) solar cells with interdigitated back contacts (IBC). The results have confirmed surface recombination velocities below 40 cm/s with implied open circuit voltage values of 675 mV in symmetric Al2O3/TiO2 test samples. Low contact resistance values (3 mΩcm2) are also measured. These excellent results pave the way for using these stacks as selective contacts of electrons in the IBC solar cells, in combination with V2Ox hole selective contacts. Experimental and technological details will be presented, as well as the first results of IBC solar cells.

W.P2.11
16:30
Authors : Sang Hoon Kim, Tae Moon Roh, Seong Hyun Lee, Kyu-Sung Lee, Yi-Gyeong Kim, Jeong-Woo Park, Dongwoo Suh
Affiliations : Electronics and Telecommunications Research Institute; Electronics and Telecommunications Research Institute; Electronics and Telecommunications Research Institute; Electronics and Telecommunications Research Institute; Electronics and Telecommunications Research Institute; Electronics and Telecommunications Research Institute; Electronics and Telecommunications Research Institute

Resume : As the issue of power in the field of system-on-chip (SoC) becomes serious, ultra-thin body does important owing to its low leakage feature resulting in the steady attraction of silicon-on-insulator (SOI) technology. However, contemporary SOI process as well as wafer itself is complicated and expensive. In the present study we developed the cost-effective fabrication process of SOI that can be formed on arbitrary local area of bulk silicon wafer by reduced pressure CVD. In this work, 0.5μm-wide window is open out of thermal SiO2 layer that is previously formed on Si (100) wafer. From the silicon wafer exposed by the window silicon epitaxial layer is selectively grown and keeps laterally growing over the SiO2 layer up to 20μm. The grown silicon epitaxial layer is planarized using chemical mechanical polishing (CMP) down to the thickness of 50nm or less. We are introducing a simple process technology what called etch-stop that controls the thickness of silicon on SiO2 without additional processing. The crystallinity and selectivity of the grown Si layer will be addressed in association with process parameters such as growth temperature, working pressure and gas flow. We expect ultra-thin body devices and contemporary CMOS could be monolithically integrated on single chip that can be applied to various SoC technology when this process is established.

W.P2.12
16:30
Authors : Hyunchul Jang, Byongju Kim, Sangmo Koo, and Dae-Hong Ko
Affiliations : Yonsei University;Yonsei University;Yonsei University;Yonsei University

Resume : Germanium (Ge) is considered an alternative channel material due to its higher electron and hole mobilities being than that of silicon (Si). Furthermore, n-type Ge films (with a shallow junction depth and low resistivity) grown on Si substrates could be a viable replacement for conventional Si-based n-type metal-oxide-semiconductors. An in-situ doping process is suitable to form n-type Ge films because precisely controllable and uniform doping concentrations can be obtained by introducing precursor and doping gases into a process chamber (during growth). We have grown in-situ phosphorus-doped epitaxial Ge films on Si substrates (001), (110), and (111) at 600°C by ultra-high vacuum chemical vapor deposition. The precursor and doping gas used were pure GeH4 and PH3 diluted at 1% in H2, respectively. We changed the flow rate of PH3 from 0 to 200 sccm and maintained the flow rate of GeH4 at 200 sccm. Although the undoped Ge layer revealed a typical island growth mode, the addition of PH3 resulted in an increase in the growth rate, and continuous layers were obtained. From the secondary-ion mass spectrometry (SIMS) data, it was confirmed that the phosphorus concentrations in each sample were dependent on crystal orientations.

W.P2.13
16:30
Authors : A.A. Rysbaev, A.M. Rakhimov, Z.A. Tursunmetova, I.R. Bekpulatov
Affiliations : Tashkent State Technical University named after I.A. Karimov 100095, Tashkent, Uzbekistan

Resume : The characteristics of available temperature sensors based on silicon single crystals have been analyzed; the reasons for the limited upper limit of temperature measurement have been established. To increase sensitivity, expand the range of measured temperatures and obtain a linear output characteristic of the temperature sensor, the authors propose to carry out stepwise implantation of P+ and B+ ions with energy decreasing into different sides of the Si(111) crystal followed by brief thermal, laser or IR irradiation after each stage of ion implantation. The distribution profiles of P and B atoms implanted into Si with a gradual decrease in energy has been studied. The effect of subsequent thermal and IR annealing on the atom distribution profiles and thermal sensor characteristics has been investigated. A p-i-n diode with a high concentration of electrically active atoms (NP=1021 cm-3, NB=2•1021 cm-3), with almost a stepwise distribution of P and B atoms and a sharp boundary between p-i and i-n regions has been created; the diode has high thermal sensitivity of 2.3 mV/K within the wide temperature range of 20-550 K.

W.P2.14
16:30
Authors : Mathieu Boccard, Angela Fioretti, Léon Grillet, Yashi Xiao, Tzu-Chin Chang Chien, Luca Antognini, Julie Dréon, Jonathan Thomet, Christophe Ballif.
Affiliations : EPFL - PV-lab, Neuchâtel, Switzerland

Resume : The simultaneous demonstration of highly transparent and conducting layers for use as carrier-selective contacts would have the potential to provide significantly improved solar cell performance compared to traditional amorphous silicon or polysilicon layers. Although many impressive results using dopant-free materials were recently shown, an underexplored strategy lies in the use of doped, high-band-gap semiconductors. When attempting to combine GaP or GaN with silicon, degradation of the silicon wafer due to impurity diffusion during the high-temperature growth of GaP or GaN films hampers device efficiency. Here, we grow GaP and GaN films at low temperature using plasma-assisted routes, demonstrating nanocrystalline GaP and GaN at 200 °C as confirmed with Raman spectroscopy or X-ray diffraction. Solar-cell results include a 524 mV Voc heterojunction cell employing an n-GaP / p-Si front side (combined with an ITO electrode). An impressive EQE of up to 77% at 400 nm, demonstrating the optical benefit of using GaP compared to a-Si:H films. Using GaN, a 574-mV Voc is reached with n-GaN / n-Si at the rear side (combined with an Al electrode) and standard (p)a-Si at the front. Even though the contacts still lack selectivity and passivation, we find that the Si bulk lifetime is not impacted by the deposition contrary to studies using high-temperature growth techniques.

W.P2.15
16:30
Authors : S.B. Lastovskii (1), L.I. Murin (1), D.A. Ogorodnikov (1), S.V. Shpakovski (2), V.P. Markevich (3), A.R. Peaker (3)
Affiliations : (1) Scientific-Practical Materials Research Center of NAS of Belarus, Minsk 220072, Belarus; (2) ”Integral” Holding, Minsk 220108, Belarus; (3) Department of EEE and Photon Science Institute, the University of Manchester, Manchester M13 9PL, UK

Resume : The processes of radiation-induced defects (RIDs) formation in semiconductor devices are much more complicated compared to those in bulk crystals. In spite of many studies there are still some experimental observations that have not been understood properly and even for silicon-based structures there is no consensus on the interpretation of some aspects of the radiation damage processes. In this work we present results of a study of the RIDs formation in n+-p silicon diodes produced on boron-doped epi-Si (ρ ≈ 20 Ω cm). The samples were irradiated with alpha-particles with energies of about 5 MeV at about 290 K with and without applied reverse bias (5 or 10 V). I-V and C-V measurements were carried out in order to evaluate the quality of the diodes and to determine the uncompensated shallow acceptor concentration and the width of the probed depletion regions. DLTS has been used for the detection of hole emission from deep level defect states. It has been found that irradiation results in a significantly lower formation rate of the RIDs with deep levels in the depleted region of the reverse biased structures as compared with those in the base region and in similar samples irradiated without bias. It is argued that the observed phenomenon is related mainly to some specific features of the silicon self-interstitials: a very strong dependence of their thermal stability on charge state and a highly enhanced mobility under minority carrier injection conditions.

W.P2.16
16:30
Authors : Ankit Goyal, Peter Schall, Katerina Newell
Affiliations : University of Amsterdam, Institute of Physics, Science Park 904, 1098XH, Amsterdam, The Netherlands

Resume : Luminescent silicon nanocrystals (SiNCs) have a wide range of applications in LEDs, displays, lasers, photovoltaic spectral-shifting filters and biomedical applications. While wet chemical synthesis and plasma-assisted synthesis methods are traditional processes to synthesize colloidal silicon nanocrystals, however, they suffer from low yield and possibility of contaminations. In view of that, high-energy ball milling is a promising method for production of large quantities of SiNCs. We have designed a modified ball mill (patent pending) for this purpose. In this contribution, we present results on SiNCs produced by dry ball milling in controlled nitrogen gas atmosphere with tungsten carbide milling media to minimize metal contamination. The milled SiNCs were carefully passivated with 1-octene and dispersed in n-octane to avoid oxidation. The largest particles were separated by centrifugation and sedimentation. The average size of the remaining SiNCs was ~ 4 nm after 4 hours of milling. Quantum confinement and strains accumulated from the milling process resulted in visible light photoluminescence (PL) of the SiNCs at room temperature, whereas the control sample (un-milled Si powder) does not show any emission at room temperature. The size of the SiNCs is correlated with PL spectrum using correlated microscopic atomic force microscopy (AFM)-PL micro-spectroscopy. We conclude that the high-energy ball milling production method is very promising, as it leads to light-emitting SiNCs and is low cost, eco- and user-friendly and easily up-scalable to the industrial scale.

W.P2.17
16:30
Authors : R. Pascu (1,2), C. Romanitan (1)
Affiliations : (1) National Institute for Research and Development in Microtechnologies – IMT Bucharest, Romania (2) University “POLITEHNICA” Bucharest, Romania

Resume : The properties of Ni/Si interfaces obtained depositing Ni on n-type Si substrates have been analysed after additional thermal treatments, between 350 and 1050°C. Microstructural investigations using X-Ray Diffraction have been performed in order to obtain information about the dominant phase of the resulted nickel silicide, demonstrating the presence of Ni2Si and NiSi phases at low temperature annealing while at high temperature these are converted to NiSi2 and NiSi. Furthermore, three types of structures have been designed and fabricated: Ni/n-type Si ohmic contacts annealed at a high temperature (1050°C), vertical Schottky diodes with the best performing ohmic contact and back-to-back Schottky contacts with identical designed areas. The ohmic contacts have been electrically evaluated using the Transfer Length Method which demonstrated an improving in sheet and contact resistances (almost two times decreasing after the thermal treatment) and specific contact resistivity (almost one order of magnitude lower). For both types of Schottky structures, different rapid post-metallization annealing treatments have been performed at low (350, 400°C) and high temperatures (850, 900°C) in Ar atmosphere. The Schottky diodes have been electrically characterized using room temperature I-V characteristics, showing an improvement of the series resistance as the temperature increases, a diminishing of the Schottky barrier height and a value of the ideal factor very close to unity.

W.P2.18
16:30
Authors : L. F. Makarenko1, S. B. Lastovskii2, H. S. Yakushevich2,E. Gaubas3, J. Pavlov3, V.V. Kozlovskii4, M. Moll5, I. Pintilie6
Affiliations : 1Belarusian State University, Independence Sve. 4, 220030 Minsk, Belarus, 2Scientific-Practical Materials Research Centre of NAS of Belarus,P. Browka str. 17, Minsk, Belarus, 3Institute of Photonics and Nanotechnology, Vilnius University, Sauletekio av. 3, LT-10257, Vilnius, Lithuania 4Peter the Great St. Petersburg State Polytechnic University, 195251 St. Petersburg, Russia 5CERN, CH-1211 Geneva 23 Switzerland, 6National Institute of Materials Physics, Atomistilor str. 405A, Bucharest-Magurele, Romania

Resume : When interpreting data on the kinetics of the formation and annealing of impurity-defect complexes in semiconductors, the information on concentrations of doping and background impurities is one of the main requirements. However, this demand cannot not always be fulfilled if device structures are envisaged. Usually, silicon devices are produced by high-temperature technological processes. This is definitely the case for diffused silicon diodes. The processes of oxidation and diffusion of doping impurities leads to a change in the concentration and distribution of impurities in the produced structures as compared to initial crystals. Therefore, to obtain unambiguous results, it is always necessary to monitor the content of doping and background impurities in the used structures. A direct method of such control can be Secondary Ion Mass Spectroscopy (SIMS). However, if the concentrations of oxygen and carbon are relatively small, then there are some difficulties with the effective application of this method. In addition, in structures with high resistivity base regions it is required to study the impurity distribution at greater depth, which may be an additional factor complicating the task. Alternatives to SIMS are indirect methods based on the already known data on formation and annealing of electrically active radiation defect-impurity complexes. This paper shows how studies of interstitial defect reactions can be used to determine oxygen and carbon content in boron doped silicon device structures. Studies of formation and annealing kinetics for interstitial radiation defects in silicon n+-p diodes irradiated with 0.9 and 5.5 MeV electrons have been performed. DLTS measurements have been used to monitor defect concentrations. Kinetics of defect annealing in the range 270-500 K has been studied in boron doped materials with initial resistivity of 5-250 Ohm.cm. It has been shown that oxygen concentration and its distribution can be determined most reliable. Problems in determining carbon content have been discussed. Using suggested methods, the analysis of annealing data on several defects unstable at near room temperature allows confirm their interstitial nature.

W.P2.19
16:30
Authors : Jiaming Chen, Dongke Li, Yicheng Jiang,Wei Li, Jun Xu*,Kunji Chen
Affiliations : School of Electronic Science and Engineering and Collaborative Innovation Center of Advanced Microstructures, Nanjing University, Nanjing 210093, China

Resume : Doping is an important means to control the physical properties of crystal semiconductor materials. However, it looks like that the doping behaviors in nano-semiconductors are different from those in their bulk counterparts and the physical mechanism behind the experimental phenomenon needs to be further understood [1-3].In this work, the boron-doped Si nanocrystals-based multilayers with size of 2-10nm were prepared by PECVD followed by post-annealing process. The influences of B doping on the electrical and optical properties were systematically studied. It is found that the conductivity is obviously enhanced by increasing the B doping levels, meanwhile, the conductivity activation energy is gradually reduced with B doping levels as revealed by temperature-dependent conductivity measurements. The conduction mechanism will be discussed based in the Hall measurements results. The optical absorption and photoluminescence behaviors of B-doped samples were also studied and discussed. We acknowledge the financial support of National Natural Science Foundation of China (No. 11774155) and National Key R&D Program of China (No.2018YFB2200101). [1] Li D , Xu J , Zhang P , et al. Journal of Physics D Applied Physics, 51(23).(2018) [2] Li D , Jiang Y , Zhang P , et al. Applied Physics Letters, 110(23):233105.(2017) [3] Jiang Y , Li D , Xu J , et al.. Applied Surface Science,( 2018)

W.P2.20
16:30
Authors : Kudryashov Dmitry(1), Gudovskikh Alexander(1,2), Baranov Artem(1), Uvarov Alexander(1), Monastyrenko Anatoly(1)
Affiliations : 1) Alferov University, St. Petersburg, Russia 2) St. Petersburg Electrotechnical University “LETI”, St. Petersburg, Russia

Resume : Silicon is widely used in solar cells fabrication due to its abundance and low cost. Earlier silicon solar cell parameters mainly were associated with bulk properties. Now the main efforts are focused towards improving silicon interface quality, which is required for the achievement of solar cell high efficiency. Silicon surface degradation caused by unoptimized chemical, thermal or plasma treatments can be the reason for high defect density at interfaces. Interface quality (recombination rate) can be estimated from QSSPC or PL-decay measurements, however such approach does not allow one to get details about interface state properties. Recently usage of carrier-selective contacts in solar cell fabrication has shown significant advantages. Band structure of some transition metal oxides deposited on p-Si provides effective holes extraction whereas electrons are blocked. The defects formation in silicon subsurface region due to different treatment can lead to band bending, even to Fermi level pinning. This could lead an appearance of strong potential barrier for holes at the carrier-selective contact/p-Si interface. This work presents last results for silicon interface characterization after different treatments using of carrier-selective contacts and I-V and C-V methods supported by numerical simulations. Strong sensitivity of I-V curves to interface states due to pinning effect was observed, which could be used for estimation of defect energy distribution at the interface.

W.P2.21
16:30
Authors : Dominic Waldhoer*(1,2), Jacopo Franco(3), Al-Moatasem El-Sayed(2), Michael Waltl(1,2), Ben Kaczer(3), and Tibor Grasser(2)
Affiliations : (1) Christian Doppler Laboratory for Single-Defect-Spectroscopy - Vienna, Austria (2) Institute for Microelectronics, TU Wien - Vienna, Austria (3) imec - Leuven, Belgium

Resume : Amorphous silica (a-SiO2) is widely used as a gate oxide in silicon based nanoelectronics either directly, or as an interfacial layer in a high-k stack. The deposited oxide layers are typically under large compressive strain, which has to be relieved during high-temperature anneal. In this work we utilize a multi-scale modeling approach to study the impact of this strain on hydrogen-related defects [1] in a-SiO2, which are suspected to cause serious reliability challenges such as Bias Temperature Instability in ultra-scaled devices due to charge trapping. Using DFT calculations we show that one defect, the Hydroxyl-E' center, is particularly sensitive to changes in bond length distributions. Under compressive stress in the oxide the hole trap level of this defect shifts towards the valence band of the device channel, leading to a substantial increase in hole trapping rates. We further employ the compact physics model Comphy [2] to investigate the resulting impact on macroscopic device degradation. We find that the severe degradation in devices with highly strained low-temperature oxides present in our measurements [3] is fully consistent with the predicted strain-induced trap level shift. All our findings suggest that the improvement of device reliability after annealing can be attributed primarily to stress relief and not necessarily to substantial structural rearrangements. [1] Phys.Rev.B 92, 014107 (2015) [2] Microelectron.Reliab. 85 49-65 (2018) [3] IEEE IEDM 2018 34.2.1-34.2.4

W.P2.22
16:30
Authors : Yu-Tao Sun, I-Ta Wang, Cheng-Yen Wen
Affiliations : Department of Materials Science and Engineering, National Taiwan University; Center of Atomic Initiative for New Materials, National Taiwan University; International Graduate Program of Molecular Science and Technology, National Taiwan University

Resume : We present an approach to fabricate SiGe quantum dots of controllable composition. In this process, a SiGe alloy thin film with a low Ge/Si ratio (e.g., Si0.99Ge0.01) is deposited on a Si substate by chemical vapor deposition, followed by thermal evaporation of 1 nm Au onto the SiGe film. The Au coated SiGe film is then annealed in air at 700°C for hours. Upon heating, surface Au coalesces to form AuGeSi eutectic liquid droplets. Because Si atoms in the SiGe thin film is preferentially oxidized to form a surface oxide layer, Ge atoms are ejected to the oxide/SiGe interface. During the oxidation process, the AuGeSi eutectic liquid droplets remain at the oxide/SiGe interface; they meanwhile play the role as a reservoir to absorb the Ge atoms at the interface. As the oxidation proceeds, the Ge/Si ratio in the eutectic liquid increases. When the eutectic liquid droplets are finally cooled down, solids of a higher Ge/Si ratio are precipitated at the interface. Transmission electron microscopy analyses show that these precipitates are of the composition Si0.6Ge0.4 and in a size of about 20 nm, epitaxially embedded in the Si0.99Ge0.01 thin film. In contrast to other deposition methods to make SiGe quantum dots, the Ge/Si ratio in the quantum dots prepared by this approach can be controlled by the oxidation time and the composition of the starting SiGe alloy thin film. The parameters that affect the morphology, size, and composition of the SiGe quantum dots and the photoluminescence properties will be discussed.

W.P2.23
16:30
Authors : Uvarov, A.V.*(1), Gudovskikh, A.S.(1,2), Baranov A.I.(1,2), Kudryashov D.A.(1), Morozov I.A.(1)
Affiliations : (1) Alferov University, St. Petersburg, Russia; (2) St. Petersburg Electrotechnical University “LETI”, St. Petersburg, Russia;

Resume : One of the promising directions for the development of solar energy is to create flexible solar cells. In this case, nanostructured Si in the form of nanowires and nanorods enclosed in a polymer matrix can be used as an absorbing layer. The use of polymer components, on the one hand, can serve as flexible filler, and on the other hand, passivate the surface of crystalline objects, reducing the rate of surface recombination. One such filler may be the SU8 epoxy photoresist. To study the properties of the interface, it was proposed to use a planar structure for the possibility of capacitive measurements. In this paper, we studied the properties of the SU8/Si interface and its effect on the bending of energy bands in the near-surface Si region using the C-V characteristics. This can be used to passivate the developed surface in flexible solar cells based on nanostructured crystalline Si.

W.P2.24
16:30
Authors : I.A. Morozov1, A.S. Gudovskikh1, 2, A.V. Uvarov1, A.I. Baranov1, E.A. Vyacheslavova1, 2, D.A. Kudryashov1
Affiliations : 1Alferov University RAS St. Petersburg, Russia; 2St. Petersburg Electrotechnical University “LETI”, St. Petersburg, Russia

Resume : Novel solar cell concept based on vertically aligned silicon nanostructures realized in flexible polymer matrix was recently proposed. One of the technological ways to form such periodic structures is to use polystyrene microspheres photolithography and dry etching. For deep dry etching with high aspect ratio a hard mask like SiO2 is additionally required. First, the surface of Si covered by a SiO2 layer is coated by polystyrene spheres, using spin-coating technology, with the same diameter (0.2–5 µm). The diameter of the spheres determines the period of the structure. Whereas the silicon wire diameter could be controlled by etching of the polystyrene spheres in oxygen plasma reducing their size to the required diameter. Then the layer of SiO2 is etched in plasma forming hard mask. Silicon could be etched in cryogenic process (T<200K) to the required depth using SiO2 hard mask. Thus, a periodic topology could be achieved with a controllable density and diameter of silicon wires. In this paper, plasma etching of SiO2 under a mask of latex spheres is investigated. First, the way to enhance stability of latex spheres mask was developed. Indeed, additional thermal pre-treatment step allows one to fix the distance between the spheres centers and increase etching SiO2/spheres selectivity. The influence of technological parameters on the uniformity of etching of the SiO2 mask and selectivity of etching will be demonstrated.

W.P2.25
16:30
Authors : Wipakorn Jevasuwan, Xiaolong Zhang, Ryo Matsumura, and Naoki Fukata
Affiliations : National Institute for Materials Science (NIMS)

Resume : Si/Ge core-shell nanowire (NW) structures have recently attracted great attention for high electron mobility transistors due to their remarkable electrical and mechanical properties. The advantage of this structure is low impurity scattering, as the induced carriers from p-Si core NW are confined and transported in the i-Ge shell region. From our previous experiments, the vapor-liquid-solid (VLS) growth using Al catalysts could create single-crystalline SiNWs with the resolving of metal catalyst contamination problems. The hole gas accumulation in the i-Ge shell region of unintentional Al-doped p-Si/i-Ge core-shell NWs were observed. However, the carrier concentration of Al doping in p-SiNWs was limited and difficult to further increase by adding B doping, resulting in the limit of hole gas inducement. Therefore, in this study, the effect of the thin B-doped Si intermediate layer with various B concentrations in this core-shell NW structure on the hole gas generation was investigated. From the results, i-Ge optical phonon peaks showed an asymmetric broadening and a downshift to lower wavenumbers by adding p-Si intermediate layer and increasing of the B concentration. The asymmetrical broadening (the Fano effect) clearly confirmed the induced hole gas accumulation in the i-Ge shell region by Al-doped p-SiNW core and also additional B-doped Si thin layer. The greater downshift indicated the effectiveness of carrier concentration increment by the thin intermediate layer to enhance the hole gas generation. The improvement of hole gas density in the i-Ge shell region by adding of B doping p-Si interposition layer was proofed. More detailed explanations of characterizations and crystalline properties will be discussed on site.

W.P2.27
16:30
Authors : H.H. Gullu1, O. Bayrakli Surucu1, D.E. Yildiz2
Affiliations : 1Department of Electrical and Electronics Engineering, Atılım University, Ankara 06830, Turkey; 2Department of Physics, Hitit University, Corum 19030, Turkey

Resume : Silicon carbide (SiC) has attracted considerable attention for photonic and electronic device applications due to inherent electrical and high thermal conductivity, indirect wide band gap, large critical breakdown electric field, high saturation electron drift velocity and high chemical stability in the use of metal-semiconductor (MS) Schottky barrier diodes (SBDs) with and without interfacial layer. In this study, a novel metal-insulator-semiconductor (MIS) diode including a silicon nitride (Si3N4) layer was investigated to improve SiC based MS diode. Although there are many reported works on the electrical characteristics of this kind of wide band gap semiconductor diodes under the effect of insulator layer, it is still an open research area to develop a complete understanding on contribution to the electronic characteristics. Recently, high dielectric constant materials have attracted considerable attention as an alternative interfacial layers for their photonic and electronic device applications at metal/semiconductor interface. Under this aim, the current-voltage, capacitance-voltage and conductance-voltage characteristics of MIS 4H-SiC diodes were analyzed and compared to the MS diodes. The MS heterojunction diode was fabricated by thermal evaporation of Au metal onto the n-4H SiC wafer substrate and Si3N4 layer was deposited into the metal/semiconductor interface by magnetron sputtering method. Forward and reverse biasing behavior of diodes were discussed according to thermionic emission with Gaussian distribution of barrier height and Schottky emission models, respectively. Device parameters as, barrier height, ideality factor, interface states, and series resistance were also calculated comparatively among these two diodes. The capacitance values for MS diode was found in decreasing behavior from ideality with crossing the certain forward bias voltage point whereas rapid increase in conductance values with the increasing voltage was observed for all fabricated diodes. Under all these works, high device performance was considerably observed from the Au/n-4H SiC diodes with Si3N4 interfacial insulator layer. Acknowledgement: This work is partly supported by Hitit University Scientific Research Project (BAP) FEF19004.15.010 and FEF19002.15.001.

W.P2.28
16:30
Authors : Marisa Di Sabatino(1), Gabriela Kazimiera Warden(1), John Atle Bones(2), Yu Hu(3), Giovanni Armaroli(4), Daniela Cavalcoli(4), Mari Juel(2)
Affiliations : (1) Dept. Materials Science and Engineering, Norwegian University of Science and Technology (NTNU), Trondheim, Norway (2) SINTEF Industry, Trondheim, Norway (3) Norsun As, Årdal, Norway (4) Dept. of Physics and Astronomy, University of Bologna (UniBo), Bologna, Italy

Resume : Czochralski (Cz) pulling is the main process to grow monocrystalline silicon (Si) ingots for solar cells. Due to its high material quality, Cz silicon is used for production of high efficiency solar cells and its market share is currently increasing compared to multicrystalline Si. Still, in order to keep its competitiveness, the production cost must be reduced at the same time as the material quality has to be kept high. A method to increase productivity, and thus reducing the production cost, is to increase the pulling speed during crystal growth by active cooling of the solidified part of the ingot during crystal pulling. However, it is not fully understood how the change of process conditions affects the material properties. Therefore, in this work we investigate how active cooling affect the defects formation and distribution in two Cz ingots. The upper part of two ingots pulled with and without active cooling has been characterized in terms on electrical and chemical properties. The characterization methods include Fourier transform infrared spectroscopy (FPP), four point probe (FPP), deep level transient spectroscopy (DLTS) and lateral photovoltage scanning (LPS). Furthermore, a two-step thermal annealing (4 h at 750 C followed by 16 h at 1050 C) has been performed in order to study the variation in oxygen nuclei concentration in the two ingots and their growth upon thermal annealing.

W.P2.29
Start atSubject View AllNum.
 
Session 11: Nanostructures I : TBD
08:45
Authors : Xiaodong Pi
Affiliations : State Key Laboratory of Silicon Materials and School of Materials Science and Engineering, Zhejiang University, Hangzhou, Zhejiang 310027, China

Resume : High-performance neuromorphic computing (i. e., brain-like computing) are envisioned to seriously demand optoelectronically integrated artificial neural networks in the future [1]. Optoelectronic synaptic devices are critical building blocks for optoelectronically integrated artificial neural networks. For the sake of the large-scale deployment of high-performance neuromorphic computing in the future, it would be advantageous to fabricate optoelectronic synaptic devices by using advanced silicon (Si) technologies such as Si photonics. In the past few years we have explored the use of Si nanostructures such as Si nanocrystals (NCs) and nanomembrane (NM) to make optoelectronic synaptic devices, which have two-terminal or three-terminal structures [2-6]. A series of important synaptic functionalities have been well mimicked by using these Si-nanostructure-based optoelectronic synaptic devices. We have also presented scenarios for the application of optoelectronic synaptic devices based on Si nanostructures. Either optical or electrical stimulation for these devices has been demonstrated, indicating their great potential for optoelectronic integration. References: [1] Y. Y. Li, et al., Recent progress on optoelectronic synaptic devices, Scientia Sinica Informationis, https://doi.org/10.1360/SSI-2019-0248. [2] Z. Y. Ni, et al., Silicon nanocrystals: unfading silicon materials for optoelectronics, Materials Science & Engineering R 138, 85-117 (2019). [3] H. Tan, et al., Broadband optoelectronic synaptic devices based on silicon nanocrystals for neuromorphic computing, Nano Energy 52, 422-430 (2018). [4] Z. Y. Ni, et al., Hybrid structure of silicon nanocrystals and 2D WSe2 for broadband optoelectronic synaptic devices, IEDM, 38.5.1-38.5.4 (2018), 1-5 Dec. 2018, San Francisco, USA. [5] S. Y. Zhao, et al., Electroluminescent synaptic devices with logic functions, Nano Energy 54, 383-389 (2018). [6] L. Yin, et al., Synaptic silicon-nanocrystal phototransistors for neuromorphic computing, Nano Energy 63, 103859 (2019).

W.11.1
09:15
Authors : W. Wang, E. Ngo, I. Florea, M. Foldyna, P. Roca i Cabarrocas and J.-L. Maurice
Affiliations : LPICM, CNRS, Ecole polytechnique, Institut Polytechnique de Paris, 91128 Palaiseau, France

Resume : Hexagonal diamond 2H Si is not present in Si phase diagram but it could be stabilized in nanowires (NWs): it was reported that 2H SiNWs with 5-nm crystalline cores can be grown by plasma-enhanced chemical vapor deposition (PECVD) using Sn catalyst on a Cu transmission electron microscopy (TEM) grid1. Ab initio calculations indicate that it would have a smaller bandgap than standard (3C) Si that could become direct in nanowires2. Here, we study the growth of 2H SiNWs by PECVD. First, we investigate the impact of Cu on SiNW growth, using SEM and TEM techniques, by testing co-catalysts of Cu and Sn with varying proportions. We show by high resolution TEM that the catalyst is crystalline with the structure of Cu3Si, and by Energy Dispersive X-ray spectroscopy that Cu exists all over the catalyst, whereas Sn is only detected in parts of it. We obtain the thinnest wires with deposition of 0.1nm/0.1 nm Sn/Cu . We are thus demonstrating a high density of quantum Si wires with a diameter distribution of 7.5 ± 2 nm, without using any lithography or colloidal solution. In about 6 % of them we find 2H structure. Using CuSn catalyst opens up new opportunities for direct bandgap devices requiring high density SiNW arrays. Work supported by French national research agency (ANR): HexaNW (ANR-17-CE09-0011). 1 J. Tang, et al., Nanoscale 9, 8113 (2017). 2 M. Amato, et al., Nano Lett. 16, 5694 (2016).

W.11.2
09:30
Authors : Eric Ngo1, Weixi Wang1, Federico Panciera2, Martin Foldyna1, Pere Roca i Cabarrocas1, Ileana Florea1, Pavel Bulkin1, Jean-Luc Maurice1
Affiliations : 1 LPICM, CNRS, Ecole polytechnique, IP Paris, 91128, Palaiseau, France 2 C2N, CNRS, Université Paris-Sud-11, Université Paris-Saclay, 91128, Palaiseau, France

Resume : Recent calculations [1] show that the 2H polytype in silicon nanowires (SiNWs) gives a direct band gap, a property that can be exploited in novel devices. Such 2H SiNWs can be synthesized by Plasma Enhanced Chemical Vapor Deposition (PECVD) [2]. In order to gain insight on what stabilizes this phase, we grow SiNWs in an in situ Transmission Electron Microscope (TEM). The TEM is equipped with gas sources and an Electron Cyclotron Resonance plasma source capable of generating atomic hydrogen, configured to reproduce the previously used PECVD conditions. Particles consisting of Cu and Sn were used as catalyst and a mixture of SiH4:H2 as precursor. The SiNWs grow by the Vapor-Solid-Solid (VSS) method. Some SiNWs presented both the 2H and cubic phase. During the growth, we observed a phase transition between those two phases. We found that the catalyst particle changes shape at the transition, which suggests at a possible method to select the growing phase [3]. We have also observed that the NW diameter affects the probability of having the 2H phase. This is consistent with ab initio calculations which predict that 2H SiNWs are stable below a critical diameter [4]. Work supported by French national research agency (ANR) : TEMPOS-NanoMAX (ANR-10-EQPX-50), HexaNW (ANR-17-CE09-0011) [1] M. Amato, et al., Nano Letters 16, 5694-5700 (2016) [2] J. Tang, et al., Nanoscale 9, 8113-8118 (2017) [3] D. Jacobsson, et al., Nature 531, 317-322 (2016) [4] R. Béjaud and O. Hardouin Duparc, (submitted)

W.11.3
09:45
Authors : Zhangbo Lu, Jun Xu*, Kunji Chen *junxu@nju.edu.cn (Corresponding author: Jun Xu)
Affiliations : School of Electronic Science and Engineering and Collaborative Innovation Center of Advanced Microstructures, Nanjing University, Nanjing 210093, China

Resume : Silicon nanostructures have attracted widespread attention due to their strong light-capture ability, which can help to improve the device performance such as solar cells light emitting devices etc.1-3 However, the silicon nanostructures also suffer from the severe surface recombination due to the large surface-to-volume ratio. In the present work, we prepared silicon nanowire arrays by using metal-assisted wet etching technology with various etching time. By using the formed Si nanowires (Si NWs) with various morphologies, we assembled PEDOT:PSS/Si NWs hybrid solar cells and the best device showed the power conversion efficiency of 7.00%. Compared with planar silicon devices, the short-circuit current density (Jsc) of the device has been increased from 27.27 mA/cm2 to 30.70 mA/cm2. In order to further improve the device performance, we used tetramethylammonium hydroxide (TMAH) to passivate the surface states of Si NWs. As a result, the power conversion efficiency of the device reached 10.43%, in which the open voltage (Voc) and fill factor (FF) were increased to 569 mV and 64.73%, respectively, indicating that a simple chemical passivation process is essential to improve the photovoltaic characteristics of the hybrid devices. We acknowledge the financial support of National Natural Science Foundation of China (No. 61735008, 61921005).

W.11.4
10:00 Coffee break    
 
Session 12: Nanostructures II : TBD
10:30
Authors : Alessia Irrera
Affiliations : IPCF CNR-Messina-Italy Viale Ferdinando Stagno D'Alcontres, 98158, Messina,Italy.

Resume : Silicon nanowires (NWs) are considered promising building blocks for a wide range of future nanoscaled devices due to their superior properties. The cheap, fast, maskless and Si technology compatible synthesis of 2D random fractal arrays of vertically aligned Si NWs by metal assisted chemical etching is of great impact for the management of light-matter interaction. We demonstrated the control over the optical properties of the system through the optimization of NW spatial arrangement with different fractal geometries (1). Strong in-plane multiple scattering and efficient light trapping related to the fractal structure were observed (2). NWs achieved by this technique exhibited a very bright room temperature photo- and electroluminescence, tunable with NW size in agreement with the occurrence of quantum confinement effect. An innovative label free optical Si NW biosensor was realized by exploiting the PL quenching upon the selective capture of target proteins or genome. Si NW optical biosensors are fast and offer a tailored sensitivity down to the fM limit in different biofluid matrices, such as blood serum, over a broad concentration range which can be tuned for different applications. 1.Light: Science & Applications 5, e16062, 2016 2.Nature Photonics 11, 170-176, 2017 3.ACS Photonics 5, 471–479, 2018

W.12.1
11:00
Authors : Linwei YU, Jun XU, Kunji CHEN
Affiliations : School of Electronics Science and Engineering, Nanjing University, 210093 Nanjing, China

Resume : Silicon nanowires (SiNWs) placed on planar surface are popular and convenient building blocks to prototype various advanced nanoelectronics and sensors, while geometry engineering of these 1D channels into elastic spring and wavy shapes can help to render them extra stretchability. In view of scalable applications, the ability to batch-manufacture and integrate orderly SiNWs with programmable line-shape designs is critical. Conventional vapor-liquid-solid growth, with gas feeding precursors, produces usually vertical SiNWs that need to be transferred and aligned onto planar substrate for electric connections. The high cost and risks associated with this nano-manipulation is arguably the last technical hurdle for the advanced SiNW technology to step into marketplace[1]. In this talk, we will focus on a new self-assembly growth and engineering strategy that can produce in-plane SiNW arrays on large area glasses or wafers. During this in-plane solid-liquid-solid (IPSLS) growth approach[2, 3], catalyst metal nanodroplets are employed to absorb amorphous Si layer, coated on surface as precursor layer, to produce well-defined SiNWs lying on surface. By adopting simple guiding edge-lines, the in-plane SiNWs can be directed into precise locations with programmable geometries of straight lines, island-chains or elastic springs. For example, fin-gate Thin film transistors (fin-TFTs) can be fabricated via a low temperature growth <350 oC, to achieve a high on/off current >5x10^8, a high hole mobility of ~100 mV/cm2 and a sub-threshold swing <100 mV/dec. More importantly, the SiNWs can be controlled into highly stretchable channels (>200%) and woven into quasi-continuous network[2, 4], which can be deployed as suspended membrane over voids or placed upon soft elastomer for building high performance sensors and logic. In addition, this elastic line-shape designs can also be faithfully transferred to 2D materials, patterning them into largely stretchable network[5]. All these capabilities can help to develop a new generation of soft electronics based on the hard device performances of established Si technology. References [1] Adv. Mater. 2019, 31, 1903945. [2] Nano Lett. 2019, 19, 6235. [3] Nature communications 2016, 7, 12836; Phys. Rev. Lett. 2009, 102, 125501. [4] Nano Lett. 2017, 17, 7638. [5] npj 2D Materials and Applications 2019, 3, 23.

W.12.2
11:45
Authors : J.L. Frieiro,1 J. López-Vidrier,1 S. González-Torres,1 O. Blázquez,2 K.E. González–Flores,3 D. Yazıcıoğlu,4 S. Gutsch,4 M. Zacharias,4 S. Hernández,1 B. Garrido1
Affiliations : 1MIND-IN2UB, Departament d’Enginyeria Electrònica i Biomèdica, Universitat de Barcelona, Martí i Franquès 1, 08028 Barcelona (Spain) 2Catalonia Institute for Energy Research (IREC), Jardins de les Dones de Negre 1, 08930 Sant Adrià de Besòs (Spain) 3Centro de Investigación en Materiales Avanzados S.C., Unidad Monterrey-PIIT, Apodaca, N.L. 66628 (México) 4Laboratory for Nanotechnology, Department of Microsystems Engineering (IMTEK), Albert-Ludwigs-University Freiburg, Georges-Köhler-Allee 103, D–79110 Freiburg (Germany)

Resume : Silicon nanocrystals (Si NCs) have been extensively studied due to enhanced electronic properties because of quantum confinement effects. The combination of the multilayer (ML) approach and an adequate thermal treatment allows controlling the NC size and thus tailoring these properties. In addition, Si-rich oxides (SiOx), including Si NCs embedded in SiO2, present interesting resistive switching (RS) effects. Nevertheless, the combination of light with the RS properties of Si NCs has not been yet explored, which can open a field of Si-based photonics-integrated non-volatile memories. In this work, we present the RS properties of Si NC/SiO2 MLs within a ZnO/Si NCs/p-Si device structure. Stable RS behavior was ascribed to the creation of conductive paths through the MLs. On one hand, we have analyzed how the electroluminescence (EL) emission is influenced by the RS effect, activating different optical centers across the device structure. On the other hand, light application under 0 V bias conditions resulted into different photogeneration and thus carrier extraction at different resistance states. In addition to the standard electrical reading, the RS state of the device can be thus directly identified either through distinct EL emission and/or photocurrent, which paves the way to novel integrated optical memristors.

W.12.4
12:00
Authors : C.Barri (a), M.Salvalaglio (b), E. Mafakheri (a), A. Benali (c), M. Bouabdellaoui (c), A. Fedorov(a), A. Voigt (b), L. Favre (c), J. B. Claude (c), D. Grosso (c), A. Ronda (c), I. Berbezier (c), M. Abbarchi (c), M. Bollani (a)
Affiliations : (a) Institute of Photonics and Nanotechnologies of CNR, LNESS, Via Anzani 42, 22100 Como, Italy. (b) Institute of Scientific Computing, Technische Universit¨at Dresden, 01062 Dresden, Germany (c) Aix Marseille Univ, Universit´e de Toulon, CNRS, IM2NP, Marseille, France

Resume : Semiconductor nanowires exhibit superior and configurable electronic and optical properties with respect to their bulk counterparts. Their growth has been tackled with a plethora of techniques challenging the production of controlled, ultra-long structures matching the needs of high yield production and scalability. Several bottom-up, self-assembly methods can be employed to obtain high-quality parallel wires. However, their fabrication over large scales often requires demanding procedures and are hardly scalable. Here we synthesize arrays of parallel ultra-long, monocrystalline, silicon-based nano-wires and complex, connected circuits exploiting electron beam lithography and dewetting process of commercial thin silicon films on insulator. The solid state dewetting initiated at the edges of the patterns controllably creates the ordering of wires with ad hoc placement and periodicity. Phase field simulations benchmark the experimental results considering anisotropic surface diffusion limited kinetics and show the role played by surface energy anisotropy leading to crystal faceting and stabilizing the wires against breaking. Wires splittings, interconnections and direction are independently managed by engineering the dewetting fronts and exploiting the spontaneous formation of kinks. Finally, we show the use of the wires as FET transistors. Beyond the first experimental evidence of controlled dewetting of patches featuring a record aspect ratio of∼1/60000 and self-assembled ∼mm long nano-wires, our method constitutes a distinct approach for the deterministic implementation of atomically-smooth, mono-crystalline electronic and photonic circuits.

W.12.5
12:15 Symposium closing    

Symposium organizers
Chioko KANETATohoku University

Center for Innovative Integrated Electoric Systems

chkaneta@cies.tohoku.ac.jp
Deren YANGZhejiang University

State Key Lab of Silicon Materials, Zheda Road 38, Hangzhou 310027, P. R. China

+86 571 87951667
mseyang@zju.edu.cn
Gudrun KISSINGER

IHP Im Technologiepark 25 15236 Frankfurt (Oder) Germany

+49 335 5625 388
gkissinger@ihp-microelectronics.com
John MURPHY (Main)University of Warwick

School of Engineering, University of Warwick, Coventry, CV4 7AL, UK

+44 24 765 75378
john.d.murphy@warwick.ac.uk