preview all symposia

2018 Fall Meeting

NANOMATERIALS

N

New atomic layer deposition approaches towards functional materials and devices

ALD is a chemical deposition technique traditionally used in the field of microelectronics and large area displays. In recent years ALD has seen a huge evolution in terms of the materials that can be deposited, the reactors and the applications. This symposium aims at highlighting recent developments in the field of ALD of functional materials and devices and to present the ALD community to the broader materials science community.

Scope:

ALD is a Chemical Vapour Deposition technique that is surface-limited and self-terminating. As a result, film thickness can be controlled very precisely to the nanometer, high aspect ratio features can be coated with a unique level of conformality, and, film homogeneity is unrivalled. ALD, with its unique characteristics, was developed in the 1970s to meet demands in the fields of microelectronics and large area displays, and these have remained its main applications, both at the lab and industrial scale, for many years. In terms of materials, metal oxides and in particular a handful of them (HfO2, Al2O3, TiO2, ZnO and Ta2O5) where the sole object of ALD research. With the advent of nanotechnology, ALD has gained momentum due to the need of controlling and engineering surfaces and interfaces. As a result, the number of laboratories equipped with an ALD system has increased significantly, which has resulted in an exponential increase in the number of publications involving ALD.

ALD is nowadays a much bigger field than originally in which in addition to the classical oxides cited above, other oxides such as copper, nickel of iron oxides are being developed.  ALD researchers are even tackling the deposition of complex mixed and doped oxides. This translates in new reaction chemistries being developed, including new precursors, and novel applications being targeted. A further development is the deposition of metallic coatings, and of hybrid and organic thin films thanks to the organic variation of ALD, namely, Molecular Layer Deposition (MLD). This again paves the way for new materials and applications. In addition, ALD researchers have devoted efforts to developing systems capable of depositing at higher throughput than with conventional ALD, thus making the technique more appealing for industrial application outside its traditional fields of applications. Some of the last developments related to ALD include area-selective deposition, for which different approaches are being explored, solution ALD and Atomic Layer Etching. Finally, the advancement and understanding of ALD and its mechanisms is sustained by research efforts in advanced and in situ characterization studies, in combination with modelling and simulation. The first goal of this symposium is thus to present the evolution of ALD from its original materials and fields of applications, which now include photovoltaics, energy storage, photo-splitting, catalysis, barrier layers and biomedical applications, among other.

The second objective is to bring together the ALD research and community in a dedicated symposium, as opposed to being diluted among many symposia depending of the materials, application, etc., as is currently the case in past E-MRS meetings. This symposium is meant to be the forum in which the ALD community will present the recent developments in ALD to the wider materials community. We expect it to foster many new collaborations that will lead to new materials, applications and chemistries being developed.

Hot topics to be covered by the symposium:

Papers are solicited on (but not limited to) the following topics:

  • Area-Selective ALD
  • Spatial ALD and atmospheric processing, high throughput
  • New reaction mechanisms, precursors and applications
  • Deposition of Hybrid/Organic materials by MLD
  • Modelling of reaction mechanism
  • Barrier layers, protective coatings
  • Energy applications (batteries, supercapacitors, solar cells, photo-splitting)
  • Advanced characterization
  • Energy activated ALD
  • Deposition of 2D materials, sulphides
  • ALD for MEMS
  • Solution ALD
  • Atomic Layer Etching (ALE)

Confirmed invited speakers:

  • Thomas Riedl (Universität Wuppertal, Germany)
  • Angel Yanguas-Gil (Argone National Laboratory, USA)
  • Jullien Bachmann (Friedrich Alexander University, Germany)
  • Erwin Kessels (Technical University Eindhoven, The Netherlands)
  • Ruud van Ommen (Delft University of Technology, The Netherlands)
  • Riikka Puurunen (Aalto University, Finland)
  • Christoph Vallée (Laboratoire des technologies de la Microélectronique, France)
  • Elsje Alessandra Quadrelli (Laboratory of chemistry, catalysis, polymers & processes, Villeurbanne, France)
  • Maarit Karppinen (Alto University, Finland)
  • Marek Godlewski (Institute of Physics, Polish Academy of Sciences, Poland)
  • Olaf Nilsen (Department of Chemistry, UiO, Olso, Norway)
  • Anjana Devi (Ruhr-Universität Bochum)

Confirmed members of the scientific committee:

  • Elisabeth Blanquet, SIMAP-University Grenoble Alps/CNRS, France
  • Nathanaelle Schneider, CNRS-IPVF, France
  • Alexey Y. Kovalgin, UOTwente, The Netherlands
  • Anjana Devi, Ruhr-Universität Bochum, Germany
  • Marek Godlewski, IFPAN, Warsaw, Poland

Poster prize:

Advanced Materials Interfaces (Wiley) will sponsor a prize to the best poster in symposium N. The prize is a €500.00 gift certificate (voucher) for publications from Wiley-VCH Verlag GmbH&Co. KGaA.

Start atSubject View AllNum.
 
Session I: Fundanmental studies and in situ characterisation : Julien Bachmann
11:00
Authors : Ola Nilsen, Kristian Blindheim Lausund
Affiliations : Centre for Materials Science and Nanotechnology (SMN), Department of Chemistry, University of Oslo, P.O. Box 1033 Blindern, N-0315 Oslo, Norway

Resume : Atomic layer deposition (ALD) is most known for deposition of inorganic compounds, while it is suitable for deposition of much wider selections of materials. When combined with organic precursors, larger molecular entities are deposited forming organic- inorganic hybrid materials through molecular layer deposition (MLD). The majority of the materials deposited so far utilise bifunctional organic linkers based on alcohols, carboxylic acids or amines as functional groups, ranging from the simple molecules to more advanced amino acids. The films are typically amorphous as deposited, but also crystalline modifications such as the UiO-66 metalorganic framework (MOF) can be produced. Deposition of such complex compounds are challenging with respect to obtaining a desired stoichiometry, crystallinity and sufficient volatility of the organic molecules. This has led to multiple new approaches like modulation of growth with helper-molecules, utilisation of the body of the film as reservoir during growth, and formation of complexes where the inorganic molecule more or less remains intact in the film during growth. The current contribution will provide highlights from such growth of organic- inorganic hybrid materials including MOF materials.

N.1.1
11:30
Authors : Dieter Schmeißer and Karsten Henkel
Affiliations : Angewandte Physik-Sensorik, BTU Cottbus-Senftenberg, Konrad-Wachsmann-Allee 17, 03046 Cottbus, Germany

Resume : HfO2 ALD films are studied using resonant photoelectron spectroscopy (resPES). We deduce that the electronic structure of HfO2 is dominated by coexisting electron and ionic states/bonds caused by charge polarization and self-trapping phenomena of the valence charges. They stabilize intrinsic electronic defect states – such as polarons and excitons - which have different degree of spatial localization and electronic correlation [1, 2]. Their relative abundance can be determined by the ionicity factor fi and its value can be derived from the resPES data as well. Both, ionicity and intrinsic electronic defects are used to explain the optical, electrical and transport properties. [1] D. Schmeißer, M. Kot, S. Alberton Corrêa, C. Das, K. Henkel, in K. Wandelt (Ed.): Encyclopedia of Interfacial Chemistry: Surface Science and Electrochemistry, Elsevier, Oxford, 2018, vol. 3.1, pp 162-171. [2] K. Henkel, M. Kot, M. Richter, M. Tallarida, D. Schmeißer, in K. Wandelt (Ed.): Encyclopedia of Interfacial Chemistry: Surface Science and Electrochemistry, Elsevier, Oxford, 2018, vol. 3.1, pp 18-26.

N.1.2
11:45
Authors : E. Skopin 1, H. Roussel 1, L. Rapenne 1, A. Crisci 2, E. Blanquet 2, G. Ciatto 3, J.L. Deschanvres 1, D.D. Fong 4, M.I. Richard 5, H. Renevier 1
Affiliations : 1 Univ. Grenoble Alpes, CNRS, Grenoble INP, LMGP, F-38000 Grenoble, France ; 3 Univ. Grenoble Alpes, CNRS, Grenoble INP, SIMAP, F-38000 Grenoble, France ; 4 Synchrotron SOLEIL - Beamline SIRIUS, L’Orme des Merisiers, Saint-Aubin, F-91192, Gif sur Yvette, France ; 5 Argonne National Laboratory, Bldg 241/C222, 9700 S. Cass Ave., Argonne, IL 60439, USA ; 5 Aix-Marseille Université, CNRS, Université de Toulon, IM2NP UMR 7334, F-13397 Marseille, France.

Resume : In the microelectronics industry, Atomic Layer Deposition (ALD) is widely employed for the conformal growth of ultrathin (~1-nm-thick) films with sub-nanometer thickness control, as it can be performed at low temperatures compatible with industry specifications. An outstanding problem in the ALD community is the controlled deposition of an ultrathin layer with optimal properties, which requires improved understanding of the growth mechanisms that take place. We provide insight into the fabrication of ultra-thin ZnO films to be inserted as tunneling insulator at the metal-InGaAs junction for optimizing contact resistance and demonstrates the power of in situ synchrotron methods for understanding the atomistic processes taking place during the initial stages of growth [1]. The earliest stage of growth of ALD ZnO on atomically flat (100) In0.57Ga0.43As surface involves a transient regime prior to the usual, «steady» ALD. We found that an ultra-thin (~1-nm-thick) 2D layer is fabricated first. Only afterwards does the 3D growth mode begin. By combining in situ X-ray absorption and grazing incidence scattering techniques, we identified a short-range-order atomic structure of the ZnO material, with an embryonic ZnO wurtzite, prior to 3D growth, then a long-range-order structure is detected both by X-ray absorption and X-ray diffraction, together with the appearance of a microstructure. At higher growth temperature, outside of the ALD window, we observed the well-known ZnO texturing when the layer thickness increases. All the synchrotron experiments were carried out at ESRF (ID3) and SOLEIL (SIRIUS) with a custom built ALD reactor [2,3] . As far as we know, no one has ever reported on materials fabricated during this initial, transient period. And although we focus on the earliest stages of ZnO deposition, our results and the techniques we employ are broadly applicable to a wealth of other oxide/semiconductor systems. [1] E. V. Skopin et al. Nanoscale (2018), accepted. [2] R. Boichot et al. J. Chem. Mater. (2016) 28, 592. [3] M.H. Chu at al. Cryst. Growth Des. (2016) 16 (9), 5339

N.1.3
12:00
Authors : Harold Le Tulzo (1,2), Nathanaelle Schneider (1,3), Daniel Lincot (1,3), Frédérique Donsanti (1,4)
Affiliations : 1 - Institut Photovoltaïque Ile de France (IPVF), 30 route départementale 128, 91120 Palaiseau, France ; 2 - French Environment and Energy Management Agency (ADEME), 20 avenue du Grésillé, 49004 Angers, France ; 3 - The National Center for Scientific Research (CNRS), Institut Photovoltaïque Ile de France (IPVF), UMR 9006, 30 route départementale 128, 91120 Palaiseau, France ; 4 - Electricité De France (EDF) R&D, 30 route départementale 128, 91120 Palaiseau, France

Resume : Copper Indium Sulfide (CuInS2) is a suitable material to be used as a thin absorber in photovoltaic devices (direct bandgap ≈ 1,5 eV). This material was grown by Atomic Layer Deposition (ALD) on a small scale (5×5 cm²), at temperature as low as 150°C, by a supercycle strategy process using β-diketonates precursors and H2S. CuInS2 stoechiometry was obtained only from some cycle ratio ([In2S3]/[CuxS] > 5 at 150°C) which demonstrated that there is a non-direct correlation between the precursor cycle ratio and the film composition and evidenced side reactions that may compete with ALD traditional surface reactions. Indeed, as for many sulfide materials, cation exchanges promoted by a rapid diffusion may occur during the CuInS2 synthesis and this complexity has prevented a straightforward upscale of the CuInS2 deposition. To tailor a working process on a large scale (15×15 cm²), the understanding of the surface chemical reactions is essential. To investigate and control those phenomena, mechanism studies using Quartz Crystal Microbalance (QCM) have been performed in a large reaction chamber. Mass variations have evidenced gas-phase ion exchanges when Cu(acac)2 reacts on a In2S3 substrate while In2S3 growth is enhanced by a CuxS substrate. Our study also shows that it may be possible to reduce the cation exchange by controlling precisely the thickness deposited for each stack of binary materials during the CuInS2 deposition. Indeed, crystallinity of In2S3 surface morphology is enhanced by the thickness, and it was shown to be more stable than its amorphous state when Cu(acac)2 reacts on its surface. Finally, modification of the ratio such as ([In2S3]/[CuxS] = y/x with y, x > 1) also open new possibilities.

N.1.4
12:15
Authors : S. Belahcen, A. Chaker, V. Pesce, C. Vallée, L. Grenouillet, M. Bonvalot, and A. Bsiesy
Affiliations : LTM, UMR UGA/CNRS 5129, GRENOBLE ; LTM, UMR UGA/CNRS 5129, GRENOBLE ; LTM, UMR UGA/CNRS 5129, GRENOBLE ; LTM, UMR UGA/CNRS 5129, GRENOBLE ; CEA-Leti, MINATEC Campus, GRENOBLE ; LTM, UMR UGA/CNRS 5129, GRENOBLE ; LTM, UMR UGA/CNRS 5129, GRENOBLE

Resume : Atomic Layer deposition (ALD) is a well-known thin film growth process involving self-limited reactions by the sequential introduction of precursors. In the case of plasma-enhanced ALD, reactive plasma species can diffuse to the substrate to participate to the growth. A bias voltage applied on the substrate during PEALD allows control of plasma ion energy influencing plasma-surface interaction mechanisms. This leads to a significant increase in the growth per cycle (GPC), and can alter material properties such as microcrystalline structure, morphology and resistivity, which are strongly dependent on material-substrate interactions. For these reasons, investigations on nucleation and interface formation is crucial. This study focuses on the substrate bias influence on HfO2 nucleation upon deposition by PE-ALD. A FlexAL tool equipped with ALE capabilities from Oxford has been used to deposit HfO2 on different substrates, namely Si/SiO2 (native oxide), HFlast Si, Si/ SiO2 (100nm), and Si/ TiN (40nm, PVD). The bias has been varied from -50V to -300V, and the nucleation process has been monitored by in-situ ellipsometry measurements correlated with ex-situ XRR analyses. The layer composition in the initial stages of the growth has been studied by XPS. The impact of chemical active species from the plasma (Ar, O2, CF4) has also been investigated. The obtained results will be discussed in the light of published literature data.

N.1.5
12:30
Authors : G.P. Gakis, H. Vergnes, E. Scheid, C. Vahlas, A.G. Boudouvis, B. Caussat
Affiliations : National Technical University of Athens, School of Chemical Engineering, Athens, Greece; Laboratoire de Génie Chimique, Université de Toulouse, CNRS, INPT, UPS, Toulouse, France; LAAS, Université de Toulouse, CNRS, Toulouse, France; CIRIMAT, Université de Toulouse, CNRS, INPT, UPS, Toulouse, France; National Technical University of Athens, School of Chemical Engineering, Athens, Greece; Laboratoire de Génie Chimique, Université de Toulouse, CNRS, INPT, UPS, Toulouse, France;

Resume : During the last two decades, Atomic Layer Deposition (ALD) has emerged as the appropriate process to produce conformal nanometric films, thus answering to the requirements of micro- and opto- electronic devices. The ALD process is complex since it involves transport phenomena occurring inside the reactor chamber and numerous surface phenomena taking place simultaneously in short time scales. This makes the understanding of the ALD chemistry a challenge, due to the difficulty of experimental measurements. In this work, a computational surface chemistry model is developed, taking into account the reactant adsorption, desorption and reaction mechanisms on the substrate surface. This model is coupled to a reactor scale CFD model for a commercial ALD reactor in order to simulate the whole process of Al2O3 deposition from TMA and H2O on 20 cm wafers. A combination of ellipsometry measurements and computations is performed to study the growth kinetics and the deposition uniformity. An original reaction probability analysis is conducted for TMA and water on the various surface sites between 100 and 300°C. This novel approach shows that the competition between surface reactions and desorption is the limiting factor for the growth at low temperature, while the concentration of reactive sites limits the growth at high temperature. For the first time, a direct link between transport phenomena and film uniformity is revealed, thus showing the necessity of such studies for the process development.

N.1.6
12:45
Authors : Etinger-Geller Y., Katsman A., Pokroy B.
Affiliations : Department of Materials Science and Engineering, Technion - Israel Institute of Technology, Haifa, Israel

Resume : Amorphous materials, in contrast to crystalline ones, lack long-range order. Its order decays rapidly with the distance and while the local environment for a particular type of atom is quite similar, it is not identical; these fine changes in the atomistic structure of the materials lead to new and very interesting phenomena which are unique for amorphous materials. Although many aspects of science and technology rely on amorphous materials, much less research is conducted about their structure than on their crystalline counterparts. In nature there are many organisms that use crystallization via an amorphous phase in order to achieve controlled mineralization. One of the main advantages of this method is that it enables the organism to exert control over the resulting polymorph, which is not necessarily the thermodynamically-stable one, by first controlling the short-range order in the amorphous phase. In this research we draw inspiration from nature and study the ability to control various structural aspects of amorphous materials via nanometer size effects. We chose atomic layer deposition (ALD) as our material deposition method, since it is a technique that can provide extremely precise, sub-nanometric, thickness control and can deposit conformal and pinhole-free amorphous films of various materials. Amorphous thin films of aluminum oxide deposited by atomic layer deposition method were found to vary structurally as a function of size; thinner films, as predicted and also confirmed by atomistic simulations, exhibited more 4-coordinated Al sites. These atomistic alterations were expected to change the amorphous thin film’s average density, and indeed it was found to vary with the alumina layer thickness. This effect is explained in terms of the deposition process, where each newly deposited layer is a new surface layer that ‘remembers’ its structure, resulting in thin films of substantially lower density. This further encouraged us to study the effect of size on different density-dependent properties; we believe that the ability to tune one property or another solely by size, according to a specific requirement, can open new possibilities for materials selections and applications, in science and technology.

N.1.7
 
Session II: ALD process development and optimization : J. Ruud van Ommen, O. Nilsen
14:00
Authors : Maïssa Barr, Ceyla Asker, Soheila Nadiri, Julien Bachmann
Affiliations : MB, CA, SN, and JB: Chemistry of Thin Film Materials, Friedrich Alexander University of Erlangen-Nürnberg, Cauerstr. 4, 91058 Erlangen, Germany; JB: Institute of Chemistry, Saint-Petersburg State University, Universitetskii pr. 26, 198504 St. Petersburg, Russia

Resume : We have established a novel thin film deposition technique, ‘solution ALD’ or sALD, by transferring the principles of atomic layer deposition (ALD) known with gaseous precursors toward precursors dissolved in a liquid. As for its traditional counterpart, the new method bases on a cyclic repetition of two consecutive, complementary, self-limiting surface reactions. We have demonstrated that it is not only applicable to the growth of oxide or sulfide thin films and deep pore coatings, but also of organic (polymeric) and even ionic solids. The broad variety of advantageous reagents available in dissolved form opens up the range of sALD materials much more broadly than will ever be possible in ‘gas ALD’. Furthermore, experimental setups of various designs are inexpensive and thereby much more accessible than the vacuum systems needed in gALD.

N.2.1
14:30
Authors : Sabrina Wack, Noureddine Adjeroud, Jérôme Guillot, Renaud Leturcq
Affiliations : Materials Research and Technology Department (MRT), Luxembourg Institute of Science and Technology (LIST), 41 rue de Brill, L-4422 Belvaux, Luxembourg

Resume : In organic electronics and opto-electronics, alumina layers play a major role as insulating material (gate dielectric), passivation layer or encapsulation layer (gas permeation barrier). Atomic layer deposition (ALD) is known for growing amorphous alumina with high density and high electrical quality, but the deposition conditions usually require either a temperature above 100°C or highly oxidative condition (oxygen plasma or ozone) to obtain a reasonable deposition rate and high material quality. A method for producing high quality alumina below 60°C using low oxidative conditions would be highly welcome for the highly sensitive materials used in organic electronics. We demonstrate a new thermal ALD method for growing alumina from room temperature to 100°C, while keeping a single ALD loop below 1 minute. In these conditions, the films show physical properties very close to alumina grown above 100°C. The films are amorphous, according to X-ray diffraction analysis. Thickness, growth rate and density of the deposited films were measured by profilometer, ellipsometer, scanning electron microscopy and quartz crystal microbalance, showing a high quality film with a high density above 3 g/cm3. The electrical properties were investigated in a capacitor geometry, demonstrating a dielectric constant of 7.7 ± 0.4. The helium transmission rate, below 10-3 g/m2/day, reveals the high gas barrier performances. At last, the refractive index of 1.58 at 500 nm and visible light transmission as high as 90% were measured using ellipsometer and UV-VIS-NIR spectrophotometry. High-resolution X-ray photoelectron spectroscopy was used in order to better understand the oxidation states and coordination of the elements.

N.2.2
14:45
Authors : Jesse Kalliomäki1, Miia Mäntymäki1, Tero Lehto1, Tiina Sarnet1, Tero Pilvi1, Quentin Demarly2, Nicolas Blasco3
Affiliations : 1 Picosun Oy, Tietotie 3, 02150 Espoo, Finland (tiina.mckee@picosun.com); 2 Air Liquide Electronics, 75 Quai d'Orsay, 75007 Paris, France; 3 Air Liquide Advanced Materials, 8 rue des Méridiens, 38130, Echirolles, France

Resume : Silicon dioxide is the sought-after solution in many applications. In addition to utilizing its dielectric properties, SiO2 can be used to tailor the mechanical properties of films or as a gas diffusion barrier. In ALD, SiO2 has been made by many different processes, mostly at >300C. Amine precursors have become more popular due to the particle and chloride impurities caused by chloride precursors. Low deposition temperatures, important for both sensitive substrates and to prevent interlayer diffusion at interfaces, have been achieved with PEALD[1], although with the expense of throughput and limited aspect ratios. Achieving industrially feasible growth rates at low temperatures with thermal processes has been a challenge. For example, with a commonly used process such as bis(diethylamino) silane and ozone, the growth rate at 100C is only < 0.1Å per cycle [2]. We present a chemistry for reliably depositing SiO2 at <100C with growth rates >1Å per cycle over a wide temperature range. Film thicknesses increased linearly with the number of cycles and the impurity content was lower at higher deposition temperatures. The experiments were made with a PICOSUN™ R-200 Advanced hot-wall ALD system, equipped with a Picohot300™ source for low vapor pressure precursors. 200mm Si wafers with native oxide layers were used as substrates. The ALD process and various properties of the resulting thin films were evaluated in this study. [1] Won et al. (2010) [2] Hirvikorpi et al. (2010)

N.2.3
15:00
Authors : Petru Lunca-Popa, Sabrina Wack, Renaud Leturcq
Affiliations : Materials Research and Technology Department (MRT), Luxembourg Institute of Science and Technology (LIST), 41 rue de Brill, L-4422, Belvaux, Luxembourg.

Resume : Silver imposed lately on various technological fields as highly reflective mirrors, catalysis, gas sensing or antimicrobial layers. Moreover, due to its lowest resistivity and low residual stress Silver is a serious candidate for replacing Cu in the field of microelectronics. It is also the most common plasmonic material with applications in energy harvesting, magneto–optic data storage, chemical or biological sensing etc. Among several fabrication methods the Plasma Enhanced Atomic Layer Deposition is preferred due to its ability to produce ultrathin conformal Ag films or to control well the geometry of deposited Ag nanoparticles (NP). The ability to control the size, the structure, and the distribution of nanoparticles (NPs) is a crucial asset in manipulating the Surface Plasmons Resonance (SPR) properties towards the applications’ requirements. In this work we present the fabrication and characterization of Ag ultrathin films/ nanoparticles via PEALD. A detailed study of how the structural properties of deposited nanostructures depend on deposition conditions is presented. By an adequate control of the deposition parameters, the optical properties of deposited Ag NP/films can be tuned. The influence of particles’ size, film thickness, particle aspect ratio and coverage area on SPR is then reported. The influence of a protective layer on optical properties is also discussed. Finally the experimental results are compared to most common models for Ag nanoparticles and the results are discussed.

N.2.4
15:15
Authors : B. Berini (1), C. Sartel (1), M. Frégnaux (2), D. Aureau (2), G. Amiri (1), S. Hassani (1), E. Popova (1), V. Sallet (1), Y. Dumont(1)
Affiliations : 1. Groupe d’Étude de la Matière Condensée (UMR 8635), Université de Versailles Saint-Quentin-en-Yvelines–CNRS–Université Paris-Saclay, Versailles, France 2. Institut Lavoisier de Versailles (UMR 8180), Université de Versailles Saint-Quentin-en-Yvelines–CNRS–Université Paris-Saclay, Versailles, France

Resume : Since the last decades, ternary perovskite oxides are considered very promising for a new electronic beyond the CMOS due to an exceptionally broad range of versatile functionalities controllable by external stimuli.The properties of these materials originate from the complex interplay between charge, orbital, spin and lattice degrees of freedom. A special challenge is the epitaxial deposition of SrTiO3 on Si(100) by atomic “layer by layer” chemical processes, opening the way of Si compatible pseudo-substrates. Pulsed Laser Deposition and Molecular Beam Epitaxy are the most used techniques to achieve high quality samples but for industrial and integrated devices processes, limitations occur when large surfaces and low temperature are required. Consequently, many efforts have focused on the growth by Atomic layer deposition, well-known for the thin films conformal deposition with nanoscale thickness control. We follow a “step by step” approach, consisting in optimising constitutive binary oxides, TiO2 and SrO and then combining these elementary bricks in the targeted SrTiO3, by direct liquid injection machine. Layers are characterized with XPS, XRD, AFM, and ellipsometry. We will present our results on the simple constitutive binary oxides, TiO2 and SrO, using the titanium tetra-isopropoxide (TTIP) and Sr(thd)2 (thd=2,2,6,6-tetramethyl-3,5-heptadionate) dissolved in toluene as metal precursors. Water, Ozone and O2 were used as oxygen reactants in both ALD and CVD growth processes. In particular anatase TiO2 films on Si (001) with a very good thickness homogeneity, and advances in SrO growth, as elementary “bricks” of sub-cycles in the ALD growth of the strontium titanate on Si (100), and first results on SrTiO3 will be detailed. “This work is supported by a public grant overseen by the French National Research Agency (ANR) as part of the “Investissements d’Avenir” program (Labex NanoSaclay, reference: ANR-10-LABX-0035)

N.2.5
16:00
Authors : J. Ruud van Ommen, Fabio Grillo, Hao Van Bui, Damiano La Zara, Michiel T. Kreutzer
Affiliations : Delft University of Technology, Delft University of Technology, Delft University of Technology, Delft University of Technology, Delft University of Technology

Resume : Nanostructured particles are very useful in applications such as catalysis, energy storage and pharma. However, a hurdle in their utilisation is that typically large amounts of such materials are required. Current liquid-phase and gas-phase synthesis methods often lack the high precision required or do not lend themselves to large-scale production. Gas-phase coating using atomic layer deposition (ALD) can be used to provide the surface of a particle with either an ultrathin continuous coating or a decoration of nanoclusters. When carried out in a so-called fluidized bed (particles suspended in an upward gas flow), ALD is an attractive way of producing nanostructured particles with great scale-up potential and an efficient use of precursor. Moreover, when continuous production of such materials is desired, a pneumatic transport reactor is convenient way to apply spatial ALD to particles. By experimental and modelling results, it will be shown which mechanisms are underlying the formation of nanoclusters, and how we can tune the size distribution of these nanoclusters. Since our large surface-area batches require longer pulse times, we will also look into the effect of that. We will compare particles treated in a conventional ALD reactor with those in a fluidized bed ALD reactor. Finally, some typical applications of the obtained nanostructured particles will be shown.

N.2.6
16:30
Authors : E. Schilirò*, G. Greco, F. Giannazzo, F. Roccaforte, R. Lo Nigro
Affiliations : CNR-IMM, Catania, Italy

Resume : The deposition of thin insulating layers on graphene (Gr) is essential to fully exploit the potential of this material in electronics. In this perspective, atomic layer deposition (ALD) is the most promising method to obtain uniform films of high-k dielectrics with atomic scale thickness control. However, the chemically inert character of Gr is an obstacle to nucleation, and several pre-functionalization or seeding-layers have been investigated so far to promote the ALD growth process. Due to the wetting “transparency” of monolayer Gr [1], the underlying substrate can also play an important role in the ALD process. As an example, a greatly improved Al2O3 nucleation has been shown for CVD Gr residing on copper with respect to Gr transferred to SiO2 [2]. Clearly, clarifying the impact of the substrate nature under Gr on the ALD growth is extremely important for practical applications. In this work, we investigated the morphological and electrical properties of Al2O3 films deposited by thermal ALD [3] on Gr grown by different methods and residing on different substrates of interest for electronics. In particular, we considered epitaxial Gr grown by thermal decomposition of SiC, and CVD grown Gr on copper foils, which are very different systems both in terms of substrate morphology and of the Gr/substrate interface structure. In the case of CVD Gr, ALD deposition was performed directly on Gr residing on Cu or after Gr transfer to different substrates (including Si, SiO2, Al2O3, SiC and GaN). Nanoscale resolution scanning probe techniques (AFM, conductive AFM) were employed to evaluate the morphology (surface coverage, grain size, roughness) and local electrical properties (leakage current, breakdown field) of the as-grown Al2O3 layers. Raman spectroscopy analyses allowed to investigate the impact of the ALD deposition on the defectivity and doping of Gr. Finally, top-gated field effect transistors were fabricated on Gr residing on the different substrates, in order to evaluate the dielectric properties of the Al2O3 gate insulator, as well as the transport properties (carrier mobility and doping density) of the Gr channel. [1] J. Rafiee, X. Mi, H. Gullapalli, A. V. Thomas, F. Yavari, Y. Shi, P. M. Ajayan, N. A. Koratkar, Nature Materials 11, 217 (2012) [2] B. Dlubak, P. R. Kidambi, R. S. Weatherup, S. Hofmann, J. Robertson, Appl. Phys. Lett. 100, 173113 (2012) [3] G. Fisichella, E. Schilirò, S. Di Franco, P. Fiorenza, R. Lo Nigro, F. Roccaforte, S. Ravesi, F. Giannazzo, ACS Appl. Mater. Interfaces 9, 7761-7771 (2017)

N.2.7
16:45
Authors : Daniel Hiller (1), Dirk König (2)
Affiliations : (1) Research School of Engineering, Australian National University (ANU), Canberra, Australia; (2) Integrated Materials Design Centre (IMDC), University of New South Wales (UNSW), Sydney, Australia.

Resume : ALD-Al2O3 thin films are known to provide excellent Si surface passivation due to a combination of effects: (i) the inevitable formation of an ultra-thin interfacial SiO2 layer, (ii) chemical defect passivation by hydrogen from the ALD-Al2O3, and (iii) by field-effect passivation due to negative fixed charges in the dielectric. Yet, the origin of the negative fixed charge is a matter of debate. Density functional theory (DFT) proposed that Al-atoms in SiO2 form Al-induced acceptor states with an energy level 0.5-0.8 eV below the Si valence band edge [1]. These states capture electrons from the Si bulk by tunnelling and create the fixed charge [1,2]. We investigate stacks of deliberately grown interfacial tunnel-SiO2 films and Å-thick ALD-Al2O3 monolayers with a variety of electrical and structural-chemical analysis methods including synchrotron-XANES and ERDA [2]. It is demonstrated that the fixed charge resides solely at the SiO2/Al2O3 interface. The charge density appears to be limited by Coulomb repulsion between the acceptor states. Finally, we show that dangling bond defects at the Si/SiO2 interface can be discharged by the Al-induced acceptor states, which leads to their electrical deactivation [3]. Accordingly, equal minority carrier lifetimes in the ms-range are measured before and after H2-passivation for Si-wafers with ultra-thin SiO2/ALD-Al2O3 stacks, which are optimum prerequisites for a passivating tunnel contact. [1] D. König & D. Hiller et al., Sci. Rep. 7, 46703 (2017) [2] D. Hiller, J. Göttlicher, R. Steininger, T. Huthwelker, J. Julin, F. Munnik, M. Wahl, W. Bock, B. Schoenaers, A. Stesmans, D. König, “Structural Properties of Al–O Monolayers in SiO2 on Silicon and the Maximization of their Negative Fixed Charge Density”, ACS Appl. Mater. Interfaces, under review (2018) [3] D. Hiller, P. M. Jordan, K. Ding, M. Pomaska, T. Mikolajick, D. König, „Deactivation of Silicon Surface States by Al-induced Acceptor States from Al–O Monolayers in SiO2”, Solar RRL, under review (2018)

N.2.8
17:00
Authors : M K. Mahadevaiah, E. Perez and *Ch. Wenger
Affiliations : IHP, 15236 Frankfurt (Oder), Germany, *Brandenburg Medical School Theodor Fontane 16816 Neuruppin, Germany

Resume : Resistive Random Access Memory (RRAM) devices operating on the filamentary-based conduction mechanism are regarded as one of the potential candidates for the future non-volatile memory technologies. RRAM devices based on HfO2 have shown promising features such as, low power operation, fast switching speed, CMOS compatibility and high scalability. The doping effect Al in HfO2 films has enabled uniform resistance switching parameters and improved conductive filament control, yielding to a reduction of the Device-to-Device (D2D) variability. The electrical forming procedure of the conductive filament is the most important step in the operation scheme of RRAM devices. In this work, the impact of the operating temperature on the D2D variability experienced during the forming, reset and set processes of integrated 4 kbit arrays based on Al-doped HfO2 1T-1R RRAM devices is investigated. The devices are programmed using the incremental step pulse and verify algorithm (ISPVA) and are tested at four different temperatures (i.e., -40, 25, 85 and 150 ˚C). The D2D distributions of the forming voltages at different temperatures are analyzed. The Weibull distributions of the switching parameters will provide a link to the variability of the process. The capability of devicesto store the programmed information for a long period of time is one of the most crucial characteristics. The effect of the operating temperature on the data retention is also analyzed by using high temperatures as the s

N.2.9
17:15
Authors : Henrik Hovde Sønsteby, Helmer Fjellvåg, Ola Nilsen
Affiliations : Centre of Materials Science and Nanotechnology, University of Oslo

Resume : There have since long been major international efforts in the search for single phase materials that are spontaneously magnetized and polarized at the same time; so-called multiferroic materials. The number of such compounds is severely limited by the strong contraindication between d0-cation off-centering (traditional ferroelectricity) and net magnetic moments through partially filled d-orbitals (traditional ferromagnetism). All known single-phase systems are hampered by low amplitudes of the induced polarizations or magnetizations, thereby restricting applications. One possibility of overcoming this challenge is to synthesize composite multiferroics by combining materials with strong ferroelectric and strong ferromagnetic responses respectively, in a multilayer stack. This allows for strong electric and magnetic responses in the same device. However, such materials systems are challenging to deposit, as maintaining the structural and chemical integrity of the layers is essential. This can be difficult with traditional deposition techniques. Atomic layer deposition (ALD), has become the technique of choice for depositing conformal single, bi- and multilayers of simple oxides. In addition, there has been a surge of complex inorganic systems that have become available by ALD over the last few years [1]. In this talk, we will present our work in designing high performance composite multiferroics with ALD, by depositing multilayers of epitaxial nickel ferrite spinel and sodium potassium niobate perovskite. The nickel ferrite layers exhibit a very rare characteristic for complex oxide ALD films; they are epitaxial as deposited. We present surface diffraction data collected at ID03@ESRF that shows this unusual growth. We go on to show in situ characterization of change in lattice parameters as a function of applied electric field in sodium potassium niobate, as measured with a novel setup at SNBL@ESRF. Finally we compare the magnitude of polarization and magnetization in such multilayer stacks with the values for single phase multiferroics, showing how stacks easily outperform their single phase counterparts. This work shows that ALD can be used to deposit very complex materials systems with high chemical and structural control, otherwise unattainable by most other techniques. The future of ALD certainly involves deposition of complex functional systems. [1] H. H. Sønsteby et al., Adv. Mat. Interfac., 10.1002/admi.201600903 (2017)

N.2.10
Start atSubject View AllNum.
 
Session III: ALD Modelling : W.M.M. (Erwin) Kessels
09:00
Authors : Riikka L. Puurunen
Affiliations : Aalto University School of Chemical Engineering, Espoo, Finland

Resume : In the past decades, atomic layer deposition (ALD), a thin film growth method based on repeated self-terminating gas-solid reactions, has become a crucial enabler of the progress of semiconductor device technology. The interest in ALD for semiconductors, and to a growing extent in other applications, is mostly based on ALD’s unprecedented ability to grow conformal films that cover the underlying three-dimensional features uniformly. Development of new ALD reactants and processes is active globally. While conformality is a target in each new development process and a key measure of processes success, a surprisingly low number of academic publications initially reports on film conformality. The most likely reason for this is the fact that a standard way of measuring conformality and available test structures are missing. Recently, silicon-based microscopic lateral high-aspect-ratio test structures (LHAR) have been developed at VTT, Finland. A key feature of these microscopic LHAR structures is the existence of a lateral gap of controlled height, typically 500 nm, between a silicon substrate and a polysilicon membrane. Pillars support the polysilicon membrane and define the gap height (hence the name, “PillarHall”). The gap length can be varied, and aspect ratios up to 25 000 : 1 have been fabricated with up to 5 mm gap length. The structure can be cross-sectioned for analysis; the top membrane can be removed to expose the film on silicon for top-view analysis; and in some cases, nondestructuve analysis through the polysilicon membrane can be made. The first LHAR prototypes had dense silicon oxide pillars supporting a polysilicon membrane on single-crystal silicon; three scientific publications report results for those [1-3]. Recently, third-generation prototypes have been fabricated, with less dense pillars now made of silicon. In 2017, there were several conference presentations on the 3rd-generation prototypes, a modelling article is in press [4] and several presentations scheduled for the AVS ALD 2018 conference in Incheon, Korea, July 2018. This work overviews recent progress in measuring and modelling ALD thin film conformality with the microscopic all-silicon LHAR test structures. References [1] Gao et al., J. Vac. Sci. Technol. A, 33 (2015) 010601 (5 pages). http://dx.doi.org/10.1116/1.4903941 [2] Mattinen et al., Langmuir 32 (2016) 10559-10569. http://dx.doi.org/10.1021/acs.langmuir.6b03007 [3] Puurunen & Gao, IEEE Xplore 2017, http://ieeexplore.ieee.org/document/7886526/ [4] Ylilammi, Ylivaara, Puurunen, J. Appl. Phys., in press (2018).

N.3.1
09:30
Authors : Simon D. Elliott, Suresh Natarajan
Affiliations : Schrödinger Inc.; Tyndall National Institute

Resume : Plasma-free (or so-called 'thermal') atomic layer etch (ALE) is often described as the inverse of atomic layer deposition (ALD). Alumina has excellent electrical insulation properties, which makes it an important material in the fabrication of semiconductor devices. As the critical dimensions of such devices are decreasing rapidly, advanced material processing techniques with atomic precision, such as ALE, have become necessary. Recently, an ALE process for alumina has been reported where HF and bis(acetylacetonate)tin or trimethylaluminium are used as precursors. The HF pulse modifies the alumina surface by forming a non-volatile AlFx layer and the subsequent Sn(acac)2/AlMe3 exposure exchanges ligands with the surface resulting in the formation of volatile etch products. In this work, insights into the mechanism of HF adsorption on alumina are provided with the help of first principles calculations using density functional theory. On adsorption, a HF molecule dissociated spontaneously at the surface of alumina and formed surface bound Al-F and O-H species. At higher coverages, a hydrogen bonded network of dissociated and intact HF molecules was observed at the alumina surface. Partial dissociation of the adsorbed HF molecules was found to be more energetically favorable than the dissociation of all adsorbed molecules. Substantial energetic barriers were found to be impeding the formation of water molecules as the etch by-product, although the subsequent desorption of these molecules faced lower barriers. Finally, based on the saturated F coverage in the AlFx layer, the theoretical maximum of the etch rate was estimated to be -1.28 ± 0.03 Å/cycle. Building on the HF example, we propose a new, general and computationally-efficient framework of energetic criteria for analyzing whether a process is continuous (CVD or etch) or self-limiting (ALD or ALE). To examine the validity of this framework, energetics computed at the level of density functional theory for sample ALE reactions are presented and discussed. Many of the trends observed in experiment for the ALE of oxides like alumina and hafnia can thus be reproduced and understood with relatively little computational effort.

N.3.2
09:45
Authors : César MASSE DE LA HUERTA, Viet NGUYEN, Pedro BRANDÃO VEIGA, Jean-Marc DEDULLE, Daniel BELLET, Carmen JIMÉNEZ, David MUÑOZ-ROJAS
Affiliations : Laboratoire des Matériaux et du Génie Physique 3 parvis Louis Néel - CS 50257 - 38016 Grenoble cedex 1

Resume : Spatial Atomic Layer Deposition (SALD) is a novel technique that allows for deposition of thin films at a considerably shorter time than the conventional ALD while retaining its unique assets, i.e. homogeneous films at low temperature, precise control over thickness and a unique ability to deposit conformal coatings over large aspect-ratio features or complex substrates. Its principle is based on a physical –rather than temporal– separation of the precursors, which are kept apart by an inert gas region or flow. This can be done using a head through which the precursors are separated in parallel channels above the substrate, separated by inert gas channels. By oscillating the substrate back and forth below the head, the conventional ALD cycles are replicated. To ensure efficient separation of the precursors by the inert gas flows, the head needs to be placed very close to the substrate, around 150 µm. This approach is thus known as close proximity setup and ensures a deposition at ALD regime. In contrast, if this proximity is increased, the separation of gases cannot be obtained and a deposition on a chemical vapor deposition (CVD) regime is obtained; which is interesting for flat surface due to the speed of deposition. We have developed a close proximity SALD reactor, which has been tested for the deposition of ZnO, Al2O3 and Al doped ZnO thin films with comparable properties as films deposited with conventional ALD and with nanometric control of their thickness, confirming an ALD deposition regime. However, such close proximity is difficult to precisely control along the whole substrate area and, thus, the homogeneity of the deposited films can be affected. Thus, it is desirable for ALD regime to be ensured at larger proximities than the proximity currently used. For this, the geometrical dimensions of the deposition head as well as the flow distribution and separation of the gaseous precursors have still to be well understood and optimized so that the best conditions for deposition are obtained and the usage of precursors is minimized. For this objective, computer simulations help greatly, as they provide information of the flow of the gas distribution and provide paths for their optimization. Here, we present a computational analysis of the SALD system present at our laboratory. The Computational Fluid Dynamics (CFD) simulations made with Comsol Multiphysics provide insight on the current deposition phenomena of the SALD, and offer possible new directions towards the optimization and development of this novel technique and its instrumentation, as well as towards deeper analysis of physical separation and chemical reactions at the surface during deposition.

N.3.3
10:00
Authors : Angel Yanguas-Gil
Affiliations : Applied Materials Division, Argonne National Laboratory, Lemont, Il 60439 (USA)

Resume : A key enabling characteristic of thin film growth processes such as CVD and ALD is that they demonstrate how through the control of surface chemistry it is possible to synthesize materials on high surface area materials and large area substrates with a great degree of uniformity. However, not all existing processes exhibit great conformality or scalability: in CVD, it is well-known that conformality is extremely dependent on gas and surface kinetics. In ALD, though ideally self-limited, the presence of side-reactions and non-self limited components can also affect conformality and homogeneity over large areas. Even in the ideal case, one of the challenges of ALD is how to achieve conformality while maintaining large enough throughputs. In this work, we explore the interplay between surface chemistry and the scale up and conformality of thin film growth in both ALD and low pressure CVD. We show how through the combination of a small set of experiments and models of the reactive transport at the reactor scale and within features, we can establish a connection between the surface kinetics and conformality, throughput, and precursor utilization. While some of the proposed models are extremely simple, the predicted results compare well with experiments and computational fluid dynamic studies. We utilize these models in two ways: 1) to understand the limits of ALD and CVD in the ideal case, and 2) to understand how ideal process is, and how the presence of non-ideality can impact its performance upon scale up.

N.3.4
11:00
Authors : Karl Rönnby, Henrik Pedersen, Lars Ojamäe
Affiliations : Department of Physics, Chemistry and Biology, Linköping University

Resume : The group 13 nitrides (13-Ns) are essential electronic device materials for present and future technologies. Thin film deposition of AlN and GaN are well explored by CVD at relatively high temperatures (800-1000 °C). ALD is less explored for the 13-Ns but would open to topographically more advanced 13-N structures, higher control when depositing multilayer superlattice 13-N structures and possibly low deposition temperatures. Thermal ALD of InN using trimethylindium (TMI) and ammonia has not been experimentally demonstrated, here we present a computational study of the surface chemical mechanisms of such a possible ALD process. We have investigated the surface structures and adsorption mechanism for possible ALD growth of InN. A periodic slab with a planar hexagonal indium nitride (0001) surface was used as model. Five different stable or metastable structures for the ammonia terminated surface was found. After comparing their free energy at different temperatures and pressures it was concluded that at ALD conditions, the most probable structure was a zig-zag hydrogen bond pattern between the surface ammonia. TMI was found to be able to adsorb and decompose on the ammonia termination while the adsorption of ammonia onto a methylindium terminated surface is expected to be the limiting step in the ALD mechanism.

N.3.5
11:15
Authors : Glen N. Fomengia, Michael Nolan, Simon D. Elliott
Affiliations : Tyndall National Institute, University College Cork, Lee Maltings, Dyke Parade, Cork, T12 R5CP, Ireland Schrödinger, 120 West 45th Street, 17th Floor, New York, NY 10036-4041, USA

Resume : Plasma-enhanced atomic layer deposition (ALD) of metal oxides is a rapidly gaining interest especially in the electronics industry because of its numerous advantages over the thermal process. However, the underlying reaction mechanism is not sufficiently understood, particularly regarding saturation of the reaction and densification of the film. In this work, we employ first principles density functional theory (DFT) to determine the predominant reaction pathways, surface intermediates and by-products formed when constituents of O2-plasma or O3 adsorb onto a methylated surface typical of TMA-based alumina ALD. The main outcomes are that a wide variety of barrierless and highly exothermic reactions can take place. This leads to the spontaneous production of various by-products with low desorption energies and also of surface intermediates from the incomplete combustion of –CH3 ligands. Surface hydroxyl groups are the most frequently observed intermediate and are formed as a consequence of the conservation of atoms and charge when methyl ligands are initially oxidized (rather than from subsequent re-adsorption of molecular water). Anionic intermediates such as formates are also commonly observed at the surface in the simulations. Formaldehyde, CH2O, is the most frequently observed gaseous by-product. Desorption of this by-product leads to saturation of the redox reaction at the level of two singlet oxygen atoms per CH3 group, where the oxidation state of C is zero, rather than further reaction with oxygen to higher oxidation states. We conclude that the self-limiting chemistry that defines ALD comes about in this case through the desorption by-products with partially-oxidised carbon. The simulations also show that densification occurs when ligands are removed or oxidised to intermediates, indicating that there may be an inverse relationship between Al/O coordination numbers in the final film and the concentration of chemically-bound ligands or intermediate fragments covering the surface during each ALD pulse. Therefore reactions that generate a bare surface Al will produce denser films in metal oxide ALD.

N.3.6
 
Session IV: ALD precursors : C. Vallée
11:30
Authors : Anjana Devi
Affiliations : Inorganic Materials Chemistry Ruhr University Bochum Bochum, Germany

Resume : The motivation to develop new precursor molecules stems from the fact that an ALD process is mainly dependent on the precursors and the co-reactants employed. The demand to produce high quality functional materials with superior properties creates new challenges in terms of implementation of new precursors. Our attempts to develop new precursor chemistries for ALD of functional metal oxides using mixed oxygen-nitrogen or all nitrogen coordinated ligands, has revealed distinct influence on the precursor characteristics. Of particular interest are the ketominate based compounds of iron and zinc which are reactive towards water as well as thermally stable meeting the prerequisites for ALD applications. The focus of this talk will be to elucidate with examples the knowledge gained on the relationship between the molecular structure and the thermal properties of the metalorganic complexes of iron and zinc. Could these precursors be an alternative to the classical precursors generally employed for ALD of ZnO and Fe2O3? The presentation focuses on the rationale behind strategies followed for precursor development.

N.4.1
12:00
Authors : Jan-Lucas Wree, Stefan Cwik, Anjana Devi
Affiliations : Inorganic Materials Chemistry, Ruhr-University Bochum, Universitätsstraße 150, 44801 Bochum, Germany

Resume : Following the successful fabrication of high-quality and large-area graphene, the focus of research recently shifted towards alternative 2D materials such as transition metal disulfides (TMDS). Particularly molybdenum disulfides (MoS2) and tungsten disulfides (WS2) seem to be promising candidates due to their unique functional properties rendering them suitable for several applications such as opto-, and microelectronics, heterogeneous catalysis, and sensors. The large-area growth of continuous films with thicknesses down to monolayer level is one of the primary requirements for different applications. Therefore, chemical vapor deposition (CVD) and especially atomic layer deposition (ALD) are most suited. However, a rational precursor and co-reactant choice is essential to realize direct TMDS growth. Our research focuses on the development and synthesis of versatile precursors for ALD. Herein, we present the synthesis and characterization of novel all-nitrogen coordinated amidinato/imido complexes of Mo and W. For structural evidence NMR, FTIR, EI-MS, and single crystal XRD were performed. The potential to serve as precursors was verified by performing thermogravimetric analysis (TGA) before implementing them successfully in a sulfide-based MOCVD process proving their high potential for TMDS growth. Furthermore, for creating even monolayer TMDS with an ALD process these precursors seem to be excellent candidates.

N.4.2
12:15
Authors : * Vasu prasad.Prasadam; *Naoufal.Bahlawane
Affiliations : *Luxembourg Institute of Science and Technology (LIST), Materials Research & Technology Department (MRT), Belvaux, Luxembourg.

Resume : Vanadium oxides, particularly VO2, attract a great interest as functional and smart materials owing to their Metal Insulator Transition (MIT) with substantial and abrupt change of the optical, electrical and thermo/electro-chromic properties. Vanadium oxides are interesting for smart windows, RRAM devices and ion batteries. In this study, we have implemented water-vapour reaction with vanadium oxytriisopropoxide (VTOP) in the growth of VOx thin films with Atomic Layer Deposition (ALD), where VTOP source is maintained at room temperature. The thermal stability of the precursor, which was investigated without introducing water vapour, reveals a thermal decomposition starting from 90°C. Therefore, any ALD process above this temperature features parasitic CVD contribution. An ALD processing window with a constant growth rate was observed within the 50-90°C temperature range. The self-saturated half-cycle reactions behavior was confirmed at 80°C. The optimized ALD cycle conditions are obtained with exposure times of 8s and 5s for VTOP and water respectively resulting in a growth rate of 0.047nm/cycle. The as-grown films are amorphous and post deposition annealing in air at 400°C and under vacuum at 800°C yields V2O5 and VO2 respectively. A pure V6O13 was obtained with post-deposition annealing at 500°C in forming gas. The VTOP/H2O thermal ALD process complies with state-of-the-art applications that request highly thickness accuracy and conformality.

N.4.3
12:30
Authors : Lasse Jürgensen, Michael Frank, Thomas Fischer, Sanjay Mathur
Affiliations : University of Cologne Institute of Inorganic Chemistry

Resume : Investigation of the interplay of metal-organic chemistry will enrich the state-of-the-art of CVD and ALD technology and open new possibilities for the applications of new Ir-based materials. Therefore heteroleptic mixed-ligand complexes exhibiting manifested Janus-type reactivity are under investigation to elaborate the precursor chemistry – materials synthesis – functional property chain. Physico-chemical studies (thermal degradation behavior, volatility), gas phase deposition studies have been carried out to elucidate the adsorption behavior (sticking coefficient) of new molecules. Finally, the CVD- and ALD-grown materials were tested towards their (electro)catalytic applications, particular in the oxygen evolution reactions. In this work synthetic preparation and structural characterization of precursors will be reported and their thermal decomposition behavior is examined.

N.4.4
 
Session V: Plasma ALD and area-selective deposition : Riikka L. Puurunen, Angel Yanguas-Gil
14:00
Authors : C. Vallée (a), R. Gassilloud (b), R. Vallat (a,b), V. Pesce (a,b), S. Belahcen (a), A. Chaker (a), N. Posseme (b), B. Pelissier (a), P. Gonon (a), M. Bonvalot (a), and A. Bsiesy (a)
Affiliations : (a) Univ. Grenoble Alpes, LTM CNRS, CEA/Leti/Minatec, 38054 Grenoble, France (b) Univ. Grenoble Alpes, CEA, LETI, Minatec, 38054 Grenoble, France

Resume : The 3D device inflection combined with the nm scale of devices is driving microelectronic industry to steadily develop atomic layer processes: Atomic Layer Deposition (ALD) for atomic scale control of thin film deposition and interfaces, Atomic Layer Etching (ALE) for atomic scale control of thin film etching and selectivity, but also atomic level of planarization, atomic level of contamination control, atomic level characterization and analysis… These atomic level or layer processing methods should not be used sequentially only but also in parallel or in combination to increase the strength of one process or to develop new process. Hence, by combining ALD and ALE in the same tool, a new patterning bottom up approach can be developed: Area Selective Deposition (ASD). In the past we have shown that with a 3 steps process using ALD + Etching (plasma or ALE) + surface passivation in a PEALD tool, one can obtain a very good selective deposition of oxides (TiO2 and Ta2O5) on metal versus Si or SiO2 surfaces on planar and 3D features. Depending on the 3D architecture, the etching step must be carefully selected among isotropic ALE based on plasma activation with ions, anisotropic ALE or anisotropic plasma etching. In this paper, we will discuss why and how plasma etching processes can be developed in a PEALD reactor and how using the plasma ions only can enable the development of ASD processes as well as the control and modification of material growth and properties in PEALD processes.

N.5.1
14:30
Authors : L. Tian1, I. Bottala1, V. Marchetto1, M. Jacquemin1, A. Crisci1, R. Reboud1, A. Mantoux1, F. Mercier1, F. Montaigne2, C. Jimenez3, F. Weiss3, E. Blanquet1
Affiliations : 1Univ. Grenoble Alpes, CNRS, Grenoble INP*, SIMAP, 38000 Grenoble, France 2Institut Jean Lamour, CNRS - Université de Lorraine 2 Allée André Guinier, F-54000 Nancy, France 3Univ. Grenoble Alpes, CNRS, Grenoble INP*, LMGP, 38000 Grenoble, France * Institute of Engineering Univ. Grenoble Alpes

Resume : The efficiency of superconducting radio frequency (SRF) cavities made of Nb could be improved by a multilayer coating of superconductor-insulator-superconductor (S-I-S) [Appl. Phys.Lett., 2006, 88, 12511] on the internal surfaces. We report the deposition of NbN as the superconducting layer in the S-I-S structure by both H2 and NH3 plasma enhanced atomic layer deposition. (tert-butylimido)-tris (diethylamino)-niobium (TBTDEN), H2 plasma and NH3 were used as the precursors in the H2 plasma process, whereas TBTDEN and NH3 plasma were used as the precursors in the NH3 plasma process. The effects of various deposition parameters on the film properties (Nb/N ratio, density, crystallinity) have been investigated. All the samples are crystallized in the cubic NbN phase. However, the lattice parameters are systematically smaller than the bulk NbN value. This might be attributed to oxygen impurity [Appl. Surf. Sci, 1997, 120, 199]. The best as deposited sample has a Tc of 3 K. In order to increase the Tc of NbN thin films, annealing in Ar or H2 atmosphere were conducted. Tc of 50 nm thick NbN samples has increased to 13.8 K after annealing, which is as high as the best results reported so far by Ziegler [IEEE Trans.Appl.Supercond., 2017, 27, 7501307]. The NbN films grown in our study meet the specifications for superconducting applications.

N.5.2
14:45
Authors : Mario Ziegler, Sezin Yüksel, Sebastian Goerke, Dana Cialla-May, Uwe Hübner, Dong Wang, Heidemarie Schmidt, Peter Schaaf
Affiliations : Mario Ziegler: Leibniz Institute of Photonic Technology Jena (IPHT), Albert-Einstein-Straße 9, 07745 Jena, Germany Chair Materials for Electrical Engineering, Institute of Materials Science and Engineering and Institute of Micro and Nanotechnologies MacroNano®, TU Ilmenau, Gustav-Kirchhoff-Str. 5, 98693 Ilmenau, Germany MRS Student Membership Herald Cost Member; Sezin Yüksel: Friedrich Schiller University Jena, Jena University Hospital, Institute of Physiology II, Kollegiengasse 9, 07743 Jena, Germany; Sebastian Goerke: Leibniz Institute of Photonic Technology Jena (IPHT), Albert-Einstein-Straße 9, 07745 Jena, Germany; Dana Cialla-May: Leibniz Institute of Photonic Technology Jena (IPHT), Albert-Einstein-Straße 9, 07745 Jena, Germany; Uwe Hübner: Leibniz Institute of Photonic Technology Jena (IPHT), Albert-Einstein-Straße 9, 07745 Jena, Germany; Dong Wang: Chair Materials for Electrical Engineering, Institute of Materials Science and Engineering and Institute of Micro and Nanotechnologies MacroNano®, TU Ilmenau, Gustav-Kirchhoff-Str. 5, 98693 Ilmenau, Germany Heidemarie Schmidt: Leibniz Institute of Photonic Technology Jena (IPHT), Albert-Einstein-Straße 9, 07745 Jena, Germany; Peter Schaaf: Chair Materials for Electrical Engineering, Institute of Materials Science and Engineering and Institute of Micro and Nanotechnologies MacroNano®, TU Ilmenau, Gustav-Kirchhoff-Str. 5, 98693 Ilmenau, Germany

Resume : Atomic layer deposition (ALD) is the method of choice to coat substrate surfaces with highly conformal ultra-thin films. A new generation of 3D nanostructures with emerging properties can be created by utilizing this conformal deposition behavior to coat complex pre-patterned 3D substrates. Unlike the common ALD approach to fabricate 3D structures, we present a novel method to generate 3D nanostructures without the necessity of pre-patterned substrates using plasma enhanced atomic layer deposition (PE-ALD) and flat silver templates. This method is known as metastable atomic layer deposition (MS-ALD). The MS-ALD exploits the metastability of the silver substrate to generate silver oxide. The generated silver oxide decomposes in a cyclic manner due to the PE-ALD process and facilitates additional side-reactions with the applied precursors. Beside the conformal coatings, the side-reactions lead to additional non-conformal coatings. As a result 3D nanostructures are generated in a self-assembled manner. The geometry of the nanostructures can be easily adjusted by tuning the deposition parameters of the MS-ALD process. We observed several different structures such as nanowires, nanosponges, and aggregated nanoparticles with feature sizes up to several microns. This contribution gives an overview over diverse generated structures and additionally introduces a model describing the MS-ALD growth process.

N.5.3
15:00
Authors : Heungseop Song, Donghyuk Shin, Heungsoo Park* and Dae-Hong Ko*
Affiliations : Department of Materials Science and Engineering, Yonsei University, Seoul, Korea

Resume : Titanium dioxide (TiO2) is an attractive dielectric material for thin film transistors due to its very high dielectric constant. Among the various deposition techniques, plasma-enhanced atomic layer deposition (PE-ALD) technology has attracted attention due to its high film density and low deposition temperatures. However, most PE-ALD TiO2 processes show a low growth-per-cycle (GPC) of less than 1.0 Å, making them difficult for mass production. T. Park et al. reported the steric hindrance caused by the big physical size of the precursor molecule during precursor feeding time and introduced a “discrete feeding method” (DFM) of the metal precursor as a solution for improved GPC [1]. In this presentation, we report the growth behavior of TiO2 film with DFM and conventional ALD growing method, using tetrakis-dimethylamido-titanium [Ti(NMe2)4], as a Ti precursor. In our experiments, TiO2 films were deposited on Si (100) substrates at 250 °C. O2 plasma power was 400 W and reactor pressure was maintained at 2 torr. Spectroscopic ellipsometry and X-ray photoelectron spectroscopy (XPS) were examined to compare GPC. As a result, the GPC of the DFM group was increased by 37% compared with the conventional method group and the GPC difference on the ellipsometry of the two groups was also in agreement with the XPS analysis. Other film characteristics were also examined by X-ray diffraction and transmission electron microscopy. [1] T. Park et al., Chem. Mater. 2011, 23, 1654–1658.

N.5.4
16:00
Authors : W.M.M. (Erwin) Kessels
Affiliations : Department of Applied Physics, Eindhoven University of Technology

Resume : Current trends in semiconductor device manufacturing impose extremely stringent requirements on nanoscale processing techniques, both in terms of accurately controlling material properties and in terms of precisely controlling nanometer dimensions. Plasma-based processing remains key in next-generation device manufacturing with plasma-enhanced atomic layer deposition (PEALD) being a method that has obtained a very prominent position in obtaining ultrathin films with atomic scale precision. Although the effects of ion-surface interactions have been investigated for conventional plasma-enhanced chemical and physical vapor deposition in great detail, very little is known about the role of ions during PEALD. In this work, the role of ion-surface interaction during PEALD will be addressed by analyzing the flux and energy of ions arriving at the surface and by linking these results with the material properties obtained. Moreover, it will be demonstrated how the properties of materials (in particular oxides and nitrides of Ti, Hf and Si) can be tailored by controlling the kinetic energy of the ions impinging on the films with RF substrate biasing. This will be shown for planar substrates (up to 200 mm in size) as well as for 3D surface topologies yielding intriguing effects of inducing differing material properties at different surfaces of the nanostructures (see also Faraz et al., ACS Appl. Mater. Interfaces 10, 13158 (2018)).

N.5.5
16:30
Authors : Małgorzata Kot1, Emilia Pożarowska1, Franziska Naumann2, Samiran Garain1, Hassan Gargouri2, Karsten Henkel1, Dieter Schmeißer1
Affiliations : 1Brandenburg University of Technology Cottbus-Senftenberg, Applied Physics and Sensor Technology, K.-Wachsmann-Allee 17, 03046 Cottbus, Germany; 2SENTECH Instruments GmbH, Schwarzschildstraße 2, 12489 Berlin, Germany

Resume : AlN has remarkable properties making it attractive for a wide range of applications in the field of (opto)electronics, acoustics and sensors. We present a comparative study of thin AlN films deposited by PEALD in the SENTECH SI ALD LL system applying either a direct inductively coupled (ICP) or an indirect capacitively coupled (CCP) plasma source. All films were prepared at 350°C on 8” Si wafers using TMA and NH3 and varying the power and duration of the plasma pulse. The films were characterized by ellipsometry, XPS, GIXRD, FESEM, AFM and electrical measurements. In general, smooth (RMS roughness < 1nm) and homogeneous (thickness inhomogeneity < 4%) films with a certain content of the wurtzite hexagonal phase having a predominant (002) orienta-tion and round grain morphology are achieved. The dielectric constant is in the range of 8.0±0.5 and the breakdown voltage above 2.2 MV/cm. The films prepared with the ICP source exhibit improved properties concerning the GPC, total cycle duration, homogeneity, refractive index, fixed and mobile electrical charges and residual oxygen content compared to the CCP process. The increase of the plasma power to 600 W in the ICP process significantly reduces the residual oxygen content and enhances the electrical break-down field. The AlN layers grown under these conditions, with a GPC of 1.54 Å/cycle, contain residual oxygen and carbon concentrations of about 10 and 4 %, respectively and possess a re-fractive index of 2.07 (at 632.8 nm).

N.5.6
 
Session VIa: Applications : A. Devi
16:45
Authors : Rafał Pietruszka, Bartłomiej S. Witkowski, Marek Godlewski
Affiliations : Institute of Physics, Polish Academy of Sciences Al. Lotników 32/46, PL-02668 Warsaw, Poland

Resume : Thin films of wide band-gap oxides grown by Atomic Layer Deposition (ALD) are suitable for applications in photovoltaics. First of all, ALD-grown thin films of selective oxides can be applied as anti-reflection layers. Whereas thin films of ZnO are tested as replacement of ITO for transparent electrode applications. For these applications it is important that films can be grown by the ALD at low temperatures. Moreover deposition of high density and uniformity thin films is possible on large surfaces, including structured ones, and multi-substrates approach is possible, lowering the process costs. In the talk some new applications of the ALD-grown films in photovoltaics will be discussed. Recent applications of the ALD-grown films will be given. The research was partially supported by the National Centre for Research by “Maestro” grant (2012/06/A/ST7/00398) and TECHMATSTRATEG program 1/347431/14/NCBR/2018.

N.6a.1
 
Poster Session : A. Devi, M. Godlewski
17:30
Authors : Soon Hyung Cha, Cheol Hyun An, Sang Hyeon Kim, Dae Seon Kwon, Seong Tak Cho and Cheol Seong Hwang
Affiliations : Department of Engineering Practice, Seoul National University, Seoul 151-744, Korea; Department of Materials Science and Engineering, Seoul National University, Seoul 151-744,Korea; Department of Materials Science and Engineering, Seoul National University, Seoul 151-744,Korea; Department of Materials Science and Engineering, Seoul National University, Seoul 151-744,Korea; Department of Materials Science and Engineering, Seoul National University, Seoul 151-744,Korea; Department of Materials Science and Engineering, Seoul National University, Seoul 151-744,Korea & Inter-University Semiconductor Research Center, Seoul National University, Seoul 151-744, Korea

Resume : As the innovative scale-down of DRAM device continues, 10nm generation becomes close at hand. At present, ZAZ(ZrO2/Al2O3/ZrO2) is being used for DRAM cylindrical storage capacitors. When the design rule of the device becomes sub 20nm, a thin dielectric film of which thickness is too thin to be sufficiently crystallized will be required. In this study, to reduce EOT(Equivalent Oxide Thickness) value lower than 0.7nm, we have introduced TiO2 as high-k dielectric layers (anatase 40, rutile 83-100). However, the small band gap of TiO2 (3.4eV) makes it challenging to be used as a single dielectric layer in the structure. Therefore, the upper ZrO2 layer of the conventional ZAZ structure can be replaced by a TiO2 layer, which may result in the lower EOT than that of the original structure while maintaining the similar leakage current. We have compared and discussed the electrical characteristics of ZAZ and ZAT(ZrO2/Al2O3/TiO2) capacitors. The electrical and dielectric properties of ZAZ and ZAT deposited on TiN substrate using ALD method were evaluated. A traveling-wave-type ALD reactor was used for in-situ dielectric layers and the oxygen source of O3 and the precursors of TEMAZr, TMA and TTIP were used to deposit ZrO2, Al2O3, and TiO2. Electrical characteristics were investigated according to the dielectric thickness(7nm,10nm). The experimental results showed that the electrical characteristics differed by thickness. Experimental results after RTA(Rapid Thermal Annealing) show that the EOT is improved from ~ 0.7nm in ZAT(10nm) and ~ 0.6nm in ZAT(7nm), but the higher leakage current was observed. Leakage current problems can be solved by the doping position and thickness of Al2O3. The reduced EOT value will be analyzed by the physical thickness-EOT curve and TEM of the high-k TiO2 layer.References [1] D. Zhou, Appl. Phys. 108 (2010) 124104. [2] S. K. Kim, Appl. Phys. Lett., 85, 4112 (2004)

N.P.1
17:30
Authors : Young Min Jhon1 and Yong Tae Kim2
Affiliations : 1Sensor System Research Center; 2Semiconductor Materials & Devices Lab, Korea Institute of Science and Technology, Seoul, Korea

Resume : We have investigated atomic layer deposited (ALD) WCN thin film barrier for deep via interconnects and TSVs. Rutherford backscattering spectroscopy and x-ray diffraction show that the WCN barrier maintains amorphous and stable even after annealing at 800˚C for 30min. 90% of Cu/WCN/Si TSVs are not deviated from the initial value by 10% after annealing at 700˚C for 30min. In contrast, Cu/TiN/Si, Cu/TaN/Si, and Cu/WN/Si TSVs show severe deviation after the same annealing conditions. Mean time to failure analysis indicates that 95~100 % of the Cu/TiN or TaN/Si interconnect lines are failed at less than 3~7 x104 s, the life time of the Cu/WCN/Si is longer than 3x106 s. We will discuss the effects of film stress and mechanical hardness on Cu diffusion barrier performance.

N.P.2
17:30
Authors : Eun Gyo Jeong 1,2, Tim Hasselmann 2, Thomas Riedl 2, and Kyung Cheol Choi 1
Affiliations : 1. School of Electrical Engineering, Korea Advanced Institute of Science and Technology (KAIST), 291 Daehak-ro, Yuseong-gu, Daejeon 34141, Republic of Korea; 2. Institute of Electronic Devices, University of Wuppertal, Rainer-Gruenter0Str. 21, 42119 Wuppertal, Germany

Resume : With the development of the Internet of Things, wearable devices which integrated electronic functions in clothes or garments are currently receiving increasing attention. Among them, organic devices are very attractive because they are easy to fabricate on large-scale flexible substrates at low cost. However, they need an encapsulation barrier which is likewise flexible and reliable. In addition, unfortunately, damage that occurs during the washing process can deteriorate the characteristics of the barrier. In this study, a multi-functional SiO2 capping layer is developed to reduce the residual stress of the encapsulation barrier and at the same time to prevent the water induced phase transition of ALD-Al2O3. First, we optimized the thickness of encapsulation barrier by minimizing the total membrane force. At the same time, the optimized encapsulation barrier achieved a low water vapor transmission rate (WVTR) of ~10-5 g/m2/day with almost zero residual mechanical stress. Especially, the protonation-deprotonation mechanism of SiO2 and Al2O3 prevented the phase transition of Al2O3, in aqueous environments. Also, the WVTR of the designed encapsulation barrier exhibited a typical linear Arrhenius plot with a constant activation energy upon variation of the temperature, which proved its chemical stability. This washable encapsulation technology is expected to play a role as universal barrier for various wearable organic devices used in the 4th industrial revolution.

N.P.3
17:30
Authors : А.A. Prokhorov1, L.F. Chernush2, R. Minikayev3, A. Mazur4, T. Zajarniuk3, A. Szewczyk3, V. Dyakonov3, J. Lančok1, A.D. Prokhorov2
Affiliations : 1 Institute of Physics AS CR, Na Slovance 2, 18221 Prague, Czech Republic 2 A.A. Galkin Donetsk Physico-Technical Institute, R. Luxembourg 72, 83114 Donetsk 3 Institute of Physics, P.A.S., al. Lotnikow 32/46, 02-668, Warsaw, Poland 4 Center for Magnetic Resonance, Saint-Petersburg State University, Universitetskiy av. 26, 198504, Saint-Petersburg

Resume : Structural and magnetic properties of the cobalt-doped YAl3(BO3)4 and EuAl3(BO3)4 crystals were studied using electron paramagnetic resonance (EPR), nuclear magnetic resonance (NMR), X-ray diffraction analysis, and specific heat methods. It was found that cobalt, when doped to the studied crystals, is in divalent state, substitutes the aluminum ions and forms a system of three magnetically equivalent magnetically nonequivalent centers whose magnetic axes are rotated at 120 degrees relative to one another . We have determined parameters of the spin Hamiltonian of orthorhombic symmetry, describing the anisotropic angular dependence EPR spectra for both types of crystals. Strong broadening of EPR lines is caused by the spin-lattice relaxation. Specific heat of the EuAl3(BO3)4 and YAl3(BO3)4 crystals doped with 0.2% of Co2+ was measured over the temperature range from 3 to 395 K, in zero magnetic field. The Debye temperature was determined to be equal to 355К and 358 K for YAl3(BO3)4 and EuAl3(BO3)4, respectively. Crystallographic structure at ambient conditions as well as variations of unit-cell parameters and thermal expansion coefficients with temperature were studied in detail in the range 302 K< T< 1073 K. NMR study on 27Al and 11B nuclei at room temperature was conducted. It is shown that on changing the rare-earth ion from yttrium to europium, the contribution of hyperfine interactions to the resonance characteristics of the samples increases. It is also shown that there are two nonequivalent environments of boron atoms with an attitude close to 1.1 and 1.2 for the samples containing 0.1 and 0.2% of cobalt ions, respectively.

N.P.4
17:30
Authors : Sebastian Häringer, Alexander G. Hufnagel, Michael Beetz, Daniel Böhm, Dina Fattakhova-Rohlfing, Thomas Bein
Affiliations : Department of Chemistry and Center for NanoScience (CeNS), University of Munich (LMU); Institute of Energy and Climate Research Jülich (IEK-1) and University of Duisburg-Essen

Resume : The steadily increasing proportion of fluctuating renewable energies in the national power supply requires the development of means for the intermittent storage of energy. The storage in chemical energy via electrochemical water splitting in proton exchange membrane (PEM) electrolyzers offers a promising approach. So far, expansive noble metal oxides such as iridium oxide have been identified as the most efficient way to catalyze the oxygen evolution reaction (OER). Here, we used thermal atomic layer deposition (ALD) to coat nanostructured carbon (soot) templates with mixed TiO2/Nb2O5 films using alkoxides and water as precursors. Depending on the amount of niobium in titania a conductivity of up to 400 S cm-1 can be reached upon heating for these oxides. The resulting nanostructured carrier material was coated with an ultrathin higly pure IrO2 OER catalyst layer by atomic layer deposition, again. By using the highly controlled film growth a very low noble metal loading of the electrodes could be achieved. Nonetheless, these electrodes exhibit very high catalytic activity and good stability under cyclic and constant load conditions.

N.P.5
17:30
Authors : Chanyoung Yoo, Eui-sang Park, Yoon Kyeung Lee, Woohyun Kim, Jeong Woo Jeon, Manick Ha, and Cheol Seong Hwang
Affiliations : Department of Materials Science and Engineering and Inter-university Semiconductor Research Center, Seoul National University, Seoul 151-744, Korea

Resume : Phase change memory (PCM) is one of the most promising non-volatile memories for storage class memory (SCM), which can mitigate the performance mismatch between dynamic random access memory (DRAM) and non-volatile NAND flash memory [1]. Among the material options, alloys composed of Ge, Sb, Te have been extensively studied due to their promising phase change and electrical characteristics. Despite these past efforts, critical challenges such as high power consumption, slow SET speed and chemical demixing have remained as critical issues in their applications. Motivated by the existing challenges, development of non-GST chalcogenides-based PCM utilizing an atomic layer deposition (ALD) method are explored to achieve high-performance three-dimensional (3D) crosspoint and confined structure memory devices. In this report, TiTe2 thin films were deposited by ALD as an effort to form a superlattice layered structures composed of TiTe2/Sb2Te3 for low power, fast operation PCM. This system utilizes topological phase change that only involves migration of interfacial metallic atoms. ALD of Ti-Te thin film was accomplished by adopting TiCl4 and {(CH3)3Si}2Te as the Ti- and Te-precursors, respectively. Thermally activated ligand exchange was achieved, and the saturated growth rate was ~15 ng∙cm-2cy-1 (~0.25Å∙cy-1) as shown in figure 1. Also, the ALD TiTe2 film showed an extraordinary quality with preferred (001) crystal orientation, shown in figure 2, which suggests an outstanding potential for depositing superlattice structures. This preference was more pronounced for those deposited on Al2O3(0001) substrate, which is attributed to the low atomic mismatch between the similar hexagonal crystal structures of Al2O3 and TiTe2. After the fulfillment of the formation of TiTe2 thin films, alternating stacking layers composed of TiTe2/Sb2Te3 was deposited by using a well-established ALD process with Sb(OC2H5) and {(CH3)3Si}2Te precursors as already established by our group [2]. Systematic studies on ALD of Ti-Sb-Te ternary system with the various film composition by controlling the ALD cycle ratio will be discussed in the presentation. [1] Lam, C. H. 10th IEEE International Conference on Solid-State and Integrated Circuit Technology. 1080-1083 (2010). [2] Taeyong Eom et al. Chemistry of Materials, 24, 2099-2110 (2012)

N.P.6
17:30
Authors : Woohyun Kim, Chanyoung Yoo, Eui-sang Park, Yoon Kyeung Lee, Jeong Woo Jeon, Manick Ha, Cheol Seong Hwang
Affiliations : Department of Materials Science and Engineering and Inter-university Semiconductor Research Center, Seoul National University, Seoul 151-744, Korea

Resume : Phase-change random access memory (PcRAM) is a strong candidate for the next-generation memory with characteristics of non-volatility, fast operating speed, and low power consumption [1]. To overcome the existing limitations in the memory density of the PcRAM in two-dimensional (2D) structure, the three-dimensional (3D) stackable memory of the crossbar array has been proposed as a promising solution [2]. Despite the advances in the 3D structural designs to scale down the memory, sneak current that frustrates accurate reading/writing of data in each cell remained a critical issue. The selector devices such as a P-N junction diode, metal-insulator-metal (MIM) diodes, ovonic threshold switch (OTS) have been devised to minimize these errors in operation [3]. OTS based on the voltage snapback of chalcogenides possesses several desirable characteristics: bidirectional switching, processability for 3D structure and controllable threshold voltage. Among the materials that can be used as OTS, GeSe has strong glass forming ability (Tcry~350 ºC) compared to GeTe (Tcry~150 ºC) with a simple binary composition [4,5]. Here, a new method for depositing GeSe films by atomic layer deposition (ALD) was described, in which HGeCl3, and [(CH3)3Si]2Se were used as the Ge and Se-precursor, respectively. The precursors formed the films through a ligand exchange reaction at a low substrate temperature of 70-150 °C. However, the pseudo-saturation behavior of the film growth, which takes a long time (7 s) to achieve the saturation growth rate ~48.26 ng·cm-2·cy-1 (~1.12 Å·cy-1), was observed due to the physisorbed precursor and byproduct molecules on the reaction sites. To overcome slow saturation and excessive use of the Ge-precursor, we applied discrete feeding method (DFM) where HGeCl3 was supplied multiple times consecutively with subdivided pulse times [6]. The ALD without DFM refers to a conventional ALD process where Ge and Se pulse and purge were injected alternatively. The ALD with DFM, by comparison, divides the total Ge pulse and purge times into several shorter times. DFM led to saturation of GeSe growth rate with a shorter total injection time of Ge precursor (from 7 s to 3 s) and improved the morphological/chemical qualities and electrical performance of the film. Also, these GeSe films exhibit fast switching speed of ~40 ns, ON/OFF ratio of ~107, the selectivity of ~104, and threshold switching (TS) behavior consistent with the modified Poole-Frenkel (PF) mechanism in the OFF state. The ALD method of GeSe films will contribute to the fabrication of 3D integration memory as selector device for preventing sneak current. [1] Burr, G. W. et al., Journal of Vacuum Science & Technology B 2010, 28, (2), 223-262. [2] Kim, S. D. et al., Ecs Solid State Letters 2013, 2, (10), Q75-Q77 [3] Burr, G. W. et al., Journal of Vacuum Science & Technology B 2014, 32, (4). [4] Sarrach, D. J. et al., Journal of Non-Crystalline Solids 1976, 22, (2), 245-267. [5] Jeong, D. S. et al., Journal of Applied Physics 2012, 111, (10). [6] Park, T. J. et al., Chemistry of Materials 2011, 23, (7), 1654-1658.

N.P.7
17:30
Authors : Seong Tak Cho, Cheol Hyun An, Sang Hyeon Kim, Dong Gun Kim, Dae Seon Kwon Soon Hyung Cha, and Cheol Seong Hwang
Affiliations : Department of Materials Science and Engineering., Seoul National University, Seoul 08826, Korea

Resume : Recently, ZrO2/Al2O3/ZrO2 (ZAZ) structure is widely used as a capacitor dielectric film of Dynamic Random Access Memory (DRAM). The role of the Al2O3 layer in this structure is to improve the leakage property, which is a disadvantage of ZrO2 layer. This makes it possible to satisfy the leakage characteristics required by the product. However, the added Al2O3 film reduces the capacitance density as compared with the capacitor composed of a single ZrO2 layer because the Al2O3 film itself has a low dielectric constant and the upper ZrO2 film is not properly crystallized. Despite these drawbacks, the ZAZ structure is still in use because of no alternative yet. However, as the DRAM shrinks more and more, it is becoming more difficult to meet the requirements of products with ZAZ structure alone. Therefore, there are active research and studies in progress to discover a new dielectric material to replace the ZAZ structure. In this study, Y2O3 was used instead of Al2O3 to increase the total dielectric constant while maintaining the role of improving the leakage characteristics performed by the Al2O3 film. The dielectric constant and bandgap of Y2O3 are higher than that of Al2O3 and that of ZrO2, respectively, and it is also known as a material stabilizing the phase of ZrO2 into high-k phase.[1] Therefore, Y2O3 is considered a promising candidate to replace Al2O3. The evaluation was carried out using Y(iPrCp)2(iPr-amd) precursor, synthesized by Air Liquide Co., which is liquid at room temperature, and O3 as the oxygen source. The saturated deposition rate of the Y2O3 thin film was ~0.14nm/cycle when it was grown by an atomic layer deposition (ALD) method. Cubic phase Y2O3 film was observed by X-ray diffraction. For comparison, ZAZ and ZrO2/Y2O3/ZrO2 (ZYZ) were deposited on TiN substrate by ALD method, and their electrical and physical properties were evaluated. As expected, the equivalent oxide thickness could be decreased by ~0.2nm at a physical thickness of ~10nm while the leakage current level remained almost invariant (~9 X 10-10A/cm2 to ~4 X 10-9A/cm2 at 0.8V) when the Al2O3 was replaced with the Y2O3 layer. The capacitance density before RTA was higher in ZYZ, but it was changed to the same level after RTA. The higher capacitance density of ZYZ before RTA seems to be due to the crystallization of ZrO2 due to the influence of Y2O3 in ZYZ, which was confirmed by XRD. The results of the same tendency can be obtained even at the thinner thickness (~7nm). These results are promising as the newly suggested ZYZ structure may replace the present ZAZ without any major changes in electrode and integration strategy. References [1] Bo-Eun Park et al., Journal of Alloys and Compounds 722 (2017)

N.P.8
17:30
Authors : G.G. Lobachоva, Ie.V. Ivashchenko, V.M.Hurska
Affiliations : Metal Physics Department, Igor Sikorsky Kyiv Polytechnic Institute, Ukraine

Resume : Established that ESA of steel mark 3 by titanium and chromium leads to the formation of coating thickness 10-20 microns with microhardness of 10 GPa (in the sequence of Ti-Cr) and 6.6 GPa (in the sequence of Cr -Ti), due with the presence of Cr7C3 carbide and intermetallic Cr2Ti and Fe2Ti. Discovered complex influence of medium and metal anode (Ti, Cr) on structure, phase composition and microhardness of the surface area of iron alloys after combined treatment (ESA + carbonitriding). It is shown that after carbonitriding microhardness of chromium and titanium coating increases to 7,4-9,9 GPa and 9,9-13,9 GPa depending on the base material (Fe; Fe + 1,5 wt.% Ti; Fe + 2,5 wt.% Cr). Titanium coatings have higher microhardness than chromium, due to the strong interaction of titanium with penetration elements (C, N) to form a dispersion of carbides, nitrides, carbonitrides. Established that previously applied coating act as a barrier to the penetration of nitrogen and carbon in the succeeding carbonitriding, which reduces the length of hardened layers alloys (Fe + 1,5 wt.% Ti; Fe + 2,5 wt.% Cr). Titanium coating reduces the diffusion length of the zone from 370 to 230 microns in alloys Fe + 1,5 wt.% Ti and from 230 to 180 microns in alloys Fe + 2,5 wt.% Cr in comparison with chrome - from 370 to 340 microns and from 230 to 220 microns, respectively.

N.P.9
17:30
Authors : Thomas Mustard, H. Shaun Kwak, Leif Jacobson, Art Bochevarov, Simon D. Elliott , Mat D. Halls
Affiliations : Schrödinger, Inc.

Resume : Modern day modeling and simulation of reactive pathways for individual ALD processes often relies on quantum mechanical predictions of energetics of stationary points on the potential energy surface. The predicted free energies of equilibrium states provide direct insight into thermodynamics of the ALD process whereas the transition state barrier heights among them provide control over the kinetics. Finding the relative kinetics of competing reaction steps is particularly useful for understanding saturating reactions, thermal decomposition and selective-area ALD. Unlike computing the energetics of equilibrium stationary points, however, finding transition states of new reaction pathways for these complex chemistries has widely been acknowledged as labor-intensive, non-transparent, and even an art-like process owing to the extreme nonlinearity of the energy and configuration space. In this work we present a fully automated formalism based on density functional theory (DFT) calculations designed to find a transition state between equilibrium surface structures along key ALD reaction pathway. The new automated transition-state search algorithm, referred to as AutoTS [1], has already been presented with its recent success in finding thousands of transition states and predicting reactivity in a wide variety of reactions in purely organic systems such as the Michael addition, Diels-Alder cycloaddition, and hydrogen abstraction. Here we extend our method to reactions relevant to ALD chemistry, particularly those involving semimetal and metal precursors. Examples are presented using a selection of Si and Al half-reactions, and validated against manually calculated and characterized results. The novel automated transition state search can be combined with such techniques as virtual screening and generation of novel precursor libraries, promising faster research and development of new ALD precursor chemistry with tunable processing parameters. [1] L.D. Jacobson, A.D. Bochevarov, M.A. Watson, et al., “Automated Transition State Search and Its Application to Diverse Types of Organic Reactions”, J. Chem. Theory Comput., 2017, 13 (11), pp 5780–5797; DOI: 10.1021/acs.jctc.7b00764

N.P.10
17:30
Authors : Lauri Aarik, Raul Rammula, Jaan Aarik
Affiliations : University of Tartu, Institute of Physics, W. Ostwaldi 1, 50411 Tartu, Estonia

Resume : In last few decades the benefits of using HfO2 thin films prepared by atomic layer deposition (ALD) have been demonstrated for many important applications ranging from nanoelectronics to optical multilayer coatings and waveguides. To ensure sufficient material quality for those applications, low impurity content in the films is needed. For this reason, many different precursor systems have been investigated. Among those, the combination of HfCl4 and O3, employed earlier e.g. by Delabie et al. [1] and Spiga et al. [2], is based on hydrogen-free precursors. As the results obtained for this precursor system by different groups differed notably from each other, we studied the process in a wide range of substrate temperatures employing real-time quartz crystal microbalance measurements in addition to post-growth characterization of films. We also compared contribution of O3 with that of H2O and O2 residues to the film growth and formation of thickness gradients. ALD-type growth of HfO2 was obtained in the HfCl4-O3 process at 250–600°C. However, the growth per cycle markedly depended on the substrate temperature and, at temperatures  300°C, was lower than that for the HfCl4-H2O process. 1. A. Delabie, J. Swerts, S. Van Elshocht, S.H. Jung, P.I. Raisanen, M.E. Givens, E.J. Shero, J. Peeters, V. Machkaoutsan, J.W. Maes, J. Electrochem. Soc. 158 (2011) D259–D263. 2. S. Spiga, C. Wiemer, G. Tallarida, G. Scarel, S. Ferrari, G. Seguini, M. Fanciulli, Appl. Phys. Lett. 87 (2005) 112904.

N.P.11
17:30
Authors : M. Napari1, D. Gomersall2, J. Innocent3, L. Lee1, T. Sajavaara4, R. Z. Hoye1,5, A. Johnson3, A. Flewitt2, J. MacManus-Driscoll1
Affiliations : 1 Department of Materials Science and Metallurgy, University of Cambridge, U. K. ; 2 Electrical Engineering Division, University of Cambridge, U. K. ; 3 Department of Chemistry, University of Bath, U. K. ; 4 Department of Physics, University of Jyvaskyla, Finland ; 5 Cavendish Laboratory, University of Cambridge, U. K.

Resume : NiOx has gained interest as an attractive thin material for a variety of applications and devices, such as thin film transistors (TFTs). While stoichiometric NiO is an electrical insulator, nickel oxide is known to become semiconducting when Ni vacancies are created either by doping or by introducing an excess of oxygen atoms. These vacancies can further ionize to produce Ni3+, and make the material behave like a p-type semiconductor. In this work NiOx films were grown at temperatures of 200 - 300 oC using plasma enhanced ALD and atmospheric pressure CVD (AP-CVD). In PEALD the films were deposited using Ni(acac)2 and O2 plasma, while in the AP-CVD NiCpAllyl was used together with O2. ToF-ERDA showed that all the as-deposited films had O/Ni ratio > 1, and according to Hall measurements, the films possessed p-type conductivity. The deposition method was observed to have an effect on the crystallinity and impurity contents of the films, which correlate with variations in film resistivities between 10^1-10^3 Ohm cm. By post-deposition annealing (PDA) in a reducing atmosphere the O/Ni ratio and, subsequently, the Ni3+/Ni2+ ratio could be decreased. This leads to an increase in the resistivity, and a decreased hole concentrations and increased Hall mobilities. This study shows how the deposition parameters and PDA conditions can be used to tune the properties of the NiOx films, and how this can be exploited in the fabrication of p-channel TFTs.

N.P.12
17:30
Authors : K.Maksimova 1, E.Maznitsyna 1, A.Daskalova 2
Affiliations : 1. REC "Functional Nanomaterials", Immanuel Kant Baltic Federal University, Gadara str. 6, 236000 Kaliningrad, Russia 2. Acad. Emil Djakov Institute of Electronics, Bulgarian Academy of Sciences, 72 Tsaridradsko Chaussee, 1784 Sofia, Bulgaria

Resume : The ability to create, test and control the surface properties of biomaterials, followed by control of different types of cell cultures on these materials, is one of the basic requirements for the search for new biomaterials for tissue engineering purposes. Development of hybrid organic-inorganic structures by using ALD and organic templates is rather novel and very promising strategy for synthesizing novel nanostructures or modifying existing materials. We have designed thin organic templates of chitosan biopolymer materials followed by femtosecond laser modulation for surface modification. Low temperature atomic layer deposition growth processes was developed as an important part of combination organic-inorganic materials with the saving their properties. Thin inorganic films (Al2O3, ZnO and ZnO:Al) were conformally grown on plane and texture chitosan templates. Structural properties of a hybrid material samples were investigated by atomic force microscopy, scanning electron microscopy, x-ray photoelectron spectroscopy and time-of-flight mass spectroscopy.

N.P.13
17:30
Authors : Carmen Jiménez, Viet Huong Nguyen, Sara AghazadehChors, Afzal Khan, Ngoc Duy Nguyen, Perrine Carroy, Delfina Muñoz, Daniel Bellet, David Munoz-Rojas
Affiliations : Carmen Jiménez1; Viet Huong Nguyen1, 2,3; Sara AghazadehChors1,2; Afzal Khan1; Ngoc Duy Nguyen2; Perrine Carroy3; Delfina Muñoz3; Daniel Bellet1; David Munoz-Rojas1 1. Univ. Grenoble Alpes, CNRS, Grenoble INP, LMGP, F-38000 Grenoble, France 2. Département de Physique, Université de Liège, Liège, Belgium. 3. Univ. Grenoble Alpes, CEA, LITEN, INES, F-73375, Le Bourget-du-Lac, France

Resume : Spatial Atomic Layer Deposition (SALD) is an alternative approach to ALD that is gaining momentum in the last years due to the high deposition rate that it offers. In combination with the unique properties of ALD, namely, film homogeneity, precise thickness control, high quality materials at low temperatures and unique ability to conformably coat high aspect ratio features, the high throughput offered by SALD widens the potential industrial applications of ALD. Additionally, SALD can be performed at atmospheric pressure, and even in the open air. One approach to do so is to use a deposition manifold head in which the different precursors and inert gas flows are injected along parallel channels. By placing the substrate to be coated close enough to the deposition head, efficient precursor separation is achieved. Such close proximity approach is indeed very convenient to couple SALD with other deposition and processing methods, in order to fabricate functional devices. [1] One type of materials that can benefit for the unique assets of SLAD are transparent conductive materials (TCMs). TCMs are currently widely used in different type of devices ranging from solar cells or LEDs to touch screens or transparent heaters. Depending on the applications, the properties of TCMs in terms of conductivity, transparency and stability need to be tuned. But in most cases, the ability to deposit TCMs at low temperatures and with high throughput is required in order to be compatible with other delicate components in the device (organic active materials, soft substrates such as plastic) and to be convenient for industrial application (were high deposition rates are needed). In this communication, we will show several examples of application of open air SALD to the deposition and surface engineering of innovative TCMs. The effect of processing in the open air in the properties of the deposited films will also be discussed. References [1] D. Muñoz-Rojas, J. MacManus-Driscoll, Spatial atmospheric atomic layer deposition: a new laboratory and industrial tool for low-cost photovoltaics, Mater. Horizons. 1 (2014) 314–320. doi:10.1039/c3mh00136a.

N.P.14
17:30
Authors : H.Viet-Nguyen1,2, J. Resende1, D.T. Papanastasiou1, S. AghazadehChors1,3, A. Khan4, C. Jiménez1, N.D. Nguyen3, D. Muñoz2, D. Bellet1, D. Muñoz-Rojas1
Affiliations : 1 Univ. Grenoble Alpes, LMGP, CNRS, F-38000 Grenoble, France 2 CEA-INES, LITEN, F-73375, 50 Avenue du Lac Léman, Le Bourget-du-Lac, France 3 Département de Physique, Université de Liège, CESAM/Q-MAT, SPIN, B-4000 Liège, Belgium 4 Department of Physics, Univ. of Peshawar, Pakistan.

Resume : Transparent electrodes (TE) constitute a critical component within a wide range of devices including touch screens, solar cells, light emitting diodes (LEDs) or transparent heaters [1]. To date, the most commonly used transparent conductive material (TCM) is still indium tin oxide (ITO), however, the scarcity of indium and lack of flexibility of ITO have prompted the search for alternative materials. Among different types of emerging materials, the transparent electrodes based on silver nanowire (AgNW) networks exhibit excellent optical, electrical and mechanical properties fulfilling the requirements for many optoelectronic applications [2],[3]. However, AgNW networks still suffer from thermal and electrical instabilities, requiring an effective and conformal protective layer. In addition, AgNW networks cannot act as an antireflective window or an effective collection layer in photovoltaic applications (because of free gaps between nanowires), which are usually ensured by a thin layer of metal oxide. The aim of this contribution is to develop a composite electrode based on AgNW and metal oxide thin films such as zinc oxide (ZnO), aluminum oxide (Al2O3) or aluminum doped zinc oxide (AZO), which are printed by our home-made atmospheric pressure spatial atomic layer deposition system (AP-SALD) [4],[5]. We will show that a thin conformal ZnO coating deposited by APSALD technique can drastically enhance the stability of AgNW networks from 300 °C up to 500 °C. Similarly, while the electrical stability of uncoated AgNW network was 9V, ZnO coated network showed a 100% increase in electrical stability, up to 18 V. The integration of the composite electrode in solar cells, as well as its implementation in flexible substrates, will be discussed. In contrast to traditional fabrication processes, the APSALD technique relies on the possibility to print thin films in a vacuum-free, low-temperature (< 200 °C), low-cost and high throughput way (for instance compatible with roll-to-roll technology). Besides, the fabrication of AgNW networks involves also low-temperature processing steps and upscaling methods. Hence, the combination of both materials and their printable fabrication processes make this type of composite electrode very appropriate for future use, especially for flexible devices. Ref: [1] K. Ellmer, ?Past achievements and future challenges in the development of optically transparent electrodes,? Nat. Photonics, vol. 6, no. 12, pp. 809?817, Nov. 2012. [2] D. Langley, G. Giusti, C. Mayousse, C. Celle, D. Bellet, and J.-P. Simonato, ?Flexible transparent conductive materials based on silver nanowire networks: a review,? Nanotechnology, vol. 24, no. 45, p. 452001, 2013. [3] A. Khan, V. H. Nguyen et al., ?Stability enhancement of silver nanowire networks with conformal ZnO coatings deposited by atmospheric pressure spatial atomic layer deposition,? ACS Appl. Mater. Interfaces, May 2018. [4] D. Muñoz-Rojas and J. MacManus-Driscoll, ?Spatial atmospheric atomic layer deposition: a new laboratory and industrial tool for low-cost photovoltaics,? Mater. Horiz., vol. 1, no. 3, p. 314, 2014. [5] V. H. Nguyen et al., ?Deposition of ZnO based thin films by atmospheric pressure spatial atomic layer deposition for application in solar cells,? J. Renew. Sustain. Energy, vol. 9, no. 2, p. 021203, Mar. 2017.

N.P.15
17:30
Authors : Jaehong Park, Hyungjun Kim*
Affiliations : School of Electrical and Electronic Engineering, Yonsei University, Seoul, South Korea

Resume : Oxide semiconductors have been intensively investigated in emerging applications, such as thin film transistor (TFT), gas sensor and solar cell, owing to the added functionality using great diversity of materials and structures. There have been numerous studies on n-type semiconductors such as ZnO, SnO2, and In2O3, whereas the research on p-type semiconductors is still ongoing due to the lack of synthesis technology. SnO is a representative p-type oxide semiconductor with wide band-gap and high mobility, but the poor stability of SnO limits the synthesis method to physical vapor deposition (PVD). PVD is difficult to apply in future integrated circuit process with aggressive scaling down and 3D structurization. Atomic layer deposition (ALD) is a promising technique owing to atomic-scale thickness controllability and great conformality. In this study, we synthesized p-type SnO thin film using ALD and consequent plasma treatment for reduction. We investigated the crystal structure, morphology, and electrical properties of SnO by using x-ray diffraction (XRD) and atomic force microscope (AFM). In addition, we evaluated the thermal and chemical stability of SnO film. As a result, SnO film shows highly stable SnO phase even after annealing at 400 °C with oxidation/reduction environment. This study will contribute to apply oxide semiconductor in future application by implementing various device structure, such as p-n junction, complementary metal oxide semiconductor, and p-type TFT.

N.P.16
17:30
Authors : Ivan Kundrata, Julien Bachmann, Karol Frohlich
Affiliations : Institute of Electrical Engineering SAS, Dúbravská cesta 9, 841 04 Bratislava; Friedrich-Alexander-Universität Erlangen-Nürnberg,Institute of Inorganic Chemistry, Egerlandstrasse 1, 91058 Erlangen; Institute of Electrical Engineering SAS, Dúbravská cesta 9, 841 04 Bratislava

Resume : Lithiated thin films are necessary for the fabrication of novel solid state batteries. While for standard atomic layer deposition (ALD) relatively high temperatures are required, higher temperatures can be detrimental to electrode layers and substrates. Especially for silicon into which the lithium can easily diffuse.Solution ALD (sALD) offers the unique advantage to use highly reactive precursors at low temperatures. An example is the common organolithium reagent N-Butyllithium(BuLi), which decomposes before boiling and therefore is unusable in the gas phase. To illustrate the use of sALD to deposit lithiated compounds, we explored the use of BuLi as a sALD precursor, using either H2O or SiCl4 as secondary precursors. Reliable formation of thin films, as characterized by ellipsometry and in situ quartz crystal microbalance (QCM) , was observed. In addition lithium Hydride peaks have been observed in as deposited films from the BuLi+H2O sALD process. Additionally the combination of two sALD processes was explored to deposit lithium silicates, solid state electrolytes, using supercycles of BuLi+H2 O and SiCl4+H2O. This represents the first demonstration of a ternary compound deposition by sALD. Thus we explored to use sALD to deposit lithiated compounds from precursors and at temperatures not suitable for gas ALD. In conclusion we present the in-situ QCM, ex-situ composition, ex-situ structure, and ex-situ electrical characterization of sALD deposited lithiated films.

N.P.17
17:30
Authors : E. Atosuo, M. J. Heikkilä, M. Ritala, M. Leskelä
Affiliations : Atosuo, Heikkilä, Ritala, Leskelä: Materials Chemistry, Department of Chemistry, University of Helsinki, P.O.Box 55, FI-00014 University of Helsinki, Finland

Resume : Sodium and potassium containing ternary oxides, and especially materials containing both of these cations, are of interest e.g. in piezoelectric devices. Deposition of ternary, not to mention quaternary, materials by ALD is challenging. Including alkali metals in the process is even more complicated, as the ions tend to diffuse in the films already during the deposition. Also, the tendency of alkali metal oxides to absorb water and CO2 is problematic since this leads to increased amounts of impurities. We have previously presented a synthesis method for alkali metal containing ternary materials that can overcome these challenges. Li and Na materials have been deposited using a combination of ALD and solid state reaction. First, an ALD metal oxide layer is deposited, followed by deposition of alkali metal carbonate. By annealing the structure, AMOx compounds form and simultaneously CO2 leaves. Combining HTXRD and HTXRR measurements, diffusion of the alkali ions and therefore the formation and crystallization of ternary species can be followed. Here we present the same process for potassium containing ternary materials. Although these oxides are interesting as such, the process presented here is first of all a next step towards a more controllable process for good quality, alkali metal containing quaternary compounds, e.g. (K,Na)NbOx and (K,Na)TaOx.

N.P.18
17:30
Authors : A. Giesriegl, N. Kemnade, G. M. Haselmann, P. Gebhardt, G. Wilde, D. Eder
Affiliations : AG, GMH, PG, DE Institute of Materials Chemistry, Technische Universität Wien, Vienna, Austria NK, DE Institute of Materials Physics, Westfälische Wilhelms-Universität Münster, Münster, Germany GW Institute of Physical Chemistry, Westfälische Wilhelms-Universität Münster, Münster, Germany

Resume : Photocatalytic splitting of water into oxygen and hydrogen constitutes a key requirement to realizing the successful use of hydrogen as a clean energy source and was recently identified by the European Science Foundation as one of the world’s emerging key research fields. Light-driven conversion of CO2 into chemical commodities for industrial production of fine chemicals would equally benefit our environment and economy. In search for a better photocatalyst, substantial research has been devoted to downscaling of size and increasing specific surface area, tuning of morphology, and modifying its electronic structure. Hybridising nanocarbon materials, i.e. CNTs and graphene, with active inorganic nanomaterials constitutes a powerful strategy towards designing new-generation functional materials for applications where efficient charge separation and extraction is required, including photovoltaics, photocatalysis, batteries, supercapacitors and biosensors. In contrast to nanocomposites, which merely combine the intrinsic properties of both compounds, nanocarbon hybrids additionally provide access to both a large internal active surface area required for gas/liquid-solid interactions and an extended interface, through which charge and energy transfer processes create synergistic effects that result in unique properties and superior performances. We have designed a model system that involves an ultra-thin insulating Al¬2O3 layer placed between ZnO as active material and multi-walled carbon nanotubes as substrate (Figure 1a). The metal oxides were deposited onto the nanocarbon via atomic layer deposition. This synthesis method offers high precision control over the thickness of these layers while yielding homogeneous coatings, making it possible to conduct distance-dependent studies of charge/energy transfer quenching behaviours. Surprisingly, we observed a linear correlation of the fluorescence quenching with the thickness of the Al2O3 layer (Figure 1b); thus, we suggest a new charge transfer model that introduces the electron conduction within Al2O3 as the rate limiting step. We also exchanged the fluorescent ZnO with a layer of photocatalytically active TiO2 nanoparticles to investigate the mechanism for photocatalytic H2 evolution.

N.P.19
Start atSubject View AllNum.
 
Session VIb: Applications (Cont.) : D. Muñoz-Rojas, M. Karppinen
14:00
Authors : Maarit Karppinen
Affiliations : Aalto University, Department of Chemistry and Materials Science

Resume : The combined ALD (Atomic Layer Deposition) and MLD (Molecular Layer Deposition) technique for inorganic-organic hybrids is an elegant, yet industrially feasible way to fabricate new advanced materials, not necessarily accessible using conventional synthesis techniques; it moreover enables the deposition of such hybrid coatings directly on sensitive/flexible/complex surfaces thus enabling the integration with e.g. textiles. We have been employing ALD/MLD towards flexible energy harvesting and storage technologies, and developed novel processes for the fabrication of thermoelectric and Li-ion battery materials and simple proof-of-the-concept devices. Our hybrid thermoelectric coatings consist of well-defined sequences of conducting nanoscale metal oxide layers and monomolecular organic layers; with such a precisely layer-engineered structure it is possible to suppress the material’s thermal conductivity as a whole without hindering the electrical transport properties of the inorganic layers. Similarly, our all-solid-state Li-organic thin-film microbattery consists of of ultrathin Li-organic anode and cathode layers and an ALD-grown LiPON electrolyte layer; with such a three-layer stack containing light elements only, very high specific capacities and also high redox reaction rates can be realized.

N.6b.1
14:30
Authors : Maxime Hallot, Christophe Lethien, Pascal Roussel
Affiliations : Institut d’Electronique, de Microélectronique et de Nanotechnologies, Université de Lille, CNRS, Centrale Lille, ISEN, Université de Valenciennes, UMR 8520 - IEMN, F-59000 Lille, France Institut d’Electronique, de Microélectronique et de Nanotechnologies, Université de Lille, CNRS, Centrale Lille, ISEN, Université de Valenciennes, UMR 8520 - IEMN, F-59000 Lille, France Unité de Catalyse et de Chimie du Solide (UCCS), Université de Lille, CNRS, Centrale Lille, ENSCL, Université d’Artois, UMR 8181 – UCCS, F-59000 Lille, France

Resume : Miniaturization of electronic devices and smart wireless system is in total expansion. To power these millimeter scale wireless sensor nodes, high energy density lithium-ion micro-batteries are promising candidates . The use of Ni-substituted LiMn2O4 spinel material and their derivatives are one of the high performance electrodes. LiMn1.5Ni0.5O4 (LMNO) thin films deposited on a functional current collector by sputtering facility is one of the most promising candidate to fulfill the performance requirements1. But, such high energy density positive electrode suffers from some drawbacks like capacity degradation among cycling in the high voltage region and undergoes manganese dissolution in the solvents from the organic electrolyte. Surface modification by Atomic Layer Deposition (ALD) offer a wide variety of coating material (ZnO, NiO, ZrO2…) to optimized micro-batteries performances2. Deposition of a nanometer thick Li3PO4 or Al2O3 coatings layers at the electrode/electrolyte interface is proposed. Effect of such surface modification by ALD is investigated for sputtered LMNO thin films. Electrochemical measurements reveal that this surface modification plays a beneficial role in enhancing the stability of the LMNO thin-film surface. Increase of the retention capacity and the coulombic efficiency lead to an improvement of the cycling performance of the electrode in terms of cycled life. 1. Létiche, M. et al. Chem. Mater. 29, 6044–6057 (2017). 2. Létiche, M. et al.. Adv. Energy Mater. 7, 1–12 (2017).

N.6b.2
14:45
Authors : Sandra Haschke,(1) Ying Zhuo,(1) Stefanie Schlicht,(1) Maissa Barr,(1) Adriana Both Engel,(1) Julien Bachmann (1,2)
Affiliations : (1) Chair of Chemistry of Thin Film Materials, Friedrich Alexander University of Erlangen-Nürnberg, Egerlandstraße 3a, 91058 Erlangen Germany; (2) Institute of Chemistry, Saint-Petersburg State University, Universitetskii pr. 26, 198504 St. Petersburg, Russia; Center for Optical and Laser Materials Research, Saint-Petersburg State University, Russia;

Resume : The ability to electrolyze water into its elements in benign conditions at low cost will imply the exclusive use of inexpensive, abundantly available materials, instead of most advanced catalysts. Iron(III) oxide, the most abundant and least expensive transition metal compound, is one such material. However, Fe2O3 typically suffers from poor catalytic activity and poor charge-carrier mobility. Here, we demonstrate that the combination of nanostructuring with a conductive tin oxide layer and a thin iridium oxide co-catalyst coating can be used to overcome these two limitations and transform Fe2O3 into a proficient water oxidation catalyst material. Nanoporous composite electrodes are prepared from anodic alumina templates coated with SnO2, Fe2O3 and IrO2 by atomic layer deposition. Their (photo)electrochemical performance at neutral pH is quantified by steady-state electrolyses and cyclic voltammetry supplemented by the characterization of the electrode nature with X-ray diffraction, X-ray photoelectron spectroscopy and impedance spectroscopy. 20 nm of amorphous SnO2 proves to be ideal as a conductive layer maximizing the efficiency of Fe2O3. With an additional IrO2 co-catalyst coating of <1 nm light-induced charge-carriers are efficiently separated and consumed in the water oxidation reaction yielding stabilized current densities up to J = 1.9 mA cm–2 (at η = 0.48 V), which corresponds to a 17-fold increase with respect to pure Fe2O3.

N.6b.3
15:00
Authors : L. Hoffmann, K. Brinkmann, T. Hasselmann, J. Malerczyk, T. Becker, D. Theirich, I. Shutsko, P. Görrn, T. Riedl
Affiliations : Institute of Electronic Devices, University of Wuppertal, Wuppertal, Germany Chair of Large Area Optoelectronics, University of Wuppertal, Wuppertal, Germany

Resume : While perovskite solar cells (PSCs) have reached efficiencies beyond 20%, their stability still is a concern. Thermally activated decomposition of the perovskite and corrosion of the electrodes by halide compounds are key-issues.[1] Recently we have introduced impermeable tin oxide (SnOx)[2] prepared by atomic layer deposition (ALD) as electron extraction layers in PSCs. Thereby we managed to seal potential decomposition products of the perovskite in the device. As a result, we successfully suppressed the decomposition of the perovskite and at the same time, the sensitive metal electrodes were efficiently protected against corrosion of diffusing halide compounds.[3-5] A major drawback of classical vacuum based ALD is its inherent limit regarding throughput and potentially high manufacturing costs. Therefore, we developed a spatial ALD (S-ALD) process for SnOx (at 80°C) at atmospheric pressure, which offers roll-to-roll compatibility.[6] We unravel the critical role of deposition temperature, substrate speed as well as required gas flows as requirement for roll to roll processing. We show that we can combine the benefits of continuous processing without sacrificing the excellent electrical and barrier properties of SnOx. In inverted PSCs, the S-ALD SnOx layers afford characteristics comparable to that based on established LP-ALD. An outstanding long time stability beyond 3000 hours at elevated temperatures is achieved.[7] [1] Y. Kato et al., Adv. Mater. Interf. 2015, 2, 1500195. [2] A. Behrendt et al., Adv. Mater. 2015, 27, 5961. [3] K. Brinkmann et al., Nat. Commun. 2017, 8, 13938. [4] T. Hu et al., Adv. Mater. 2017, 29, 1606656. [5] J. Zhao et al., Adv. Energy Mater. 2017, 7, 1602599. [6] L. Hoffmann et al., J. Vac. Sci. & Technol. A 2018, 36, 1, 01A112. [7] L. Hoffmann et al., ACS Appl. Mater. Interf. 2018, 10, 6006.

N.6b.4
16:00
Authors : Fredrik Larsson, Jan Keller, Marika Edoff, Tobias Törndahl
Affiliations : Department of Engineering Sciences, Solid State Electronics, Uppsala University, Box 534, 751 21 Uppsala, Sweden

Resume : The development of complex solar cell structures, such as multi-junction solar cells, generate a demand for new transparent electron transport materials – also called buffer layers. In this study, we developed an ALD process for amorphous tin-gallium oxide (a-SGO) by combining existing processes for SnO2 and Ga2O3. It is predicted that a-SGO offers a wide range of possible electron affinity values, which is a key parameter of buffer layer materials. The precursors used were tetrakis(dimethylamino)Sn(IV) (DMA4Sn) and tris(dimethylamino)Ga(III) (DMA3Ga) for Sn and Ga, respectively, and water was used as co-reactant. The process was characterized using in situ quartz crystal microbalance as well as ex situ film characterization for deposition temperatures below 200 °C. The resulting films were homogeneous over the entire 25 cm2 substrate area, and no significant non-ideal behavior caused by the mixing of the two different metal-organic precursors could be observed, despite that they share the same ligand. It was therefore effortless to control the cation composition by varying the precursor ratios between DMA4Sn and DMA3Ga in a super-cycle approach. This enabled the optical band gap to be tuned from 2.7 eV for SnO2 to above 4.2 eV for Ga2O3. Preliminary results with a-SGO as buffer layer in Cu(In,Ga)Se2 solar cells indicate that the band gap change is partly due to a change in electron affinity.

N.6b.5
16:15
Authors : Maxime E. Dufond, Gabriel Loget, Lionel Santinacci
Affiliations : Aix Marseille Univ, CNRS, CINaM, Marseille, France; Institut des Sciences Chimiques de Rennes, UMR 6226 CNRS, Université de Rennes 1, France

Resume : Sunlight water splitting is a promising clean energy source but it requests a significant research effort to be spread. Si can be used as photoanode because it absorbs in the visible range and its electronic structure is well-suited to drive water photooxidation. Though, Si suffers from a strong corrosion in alkaline media. A TiO2 thin film is thus deposited since it is stable in KOH and a synergic effect is expected due to its electronic structure and its absorption in the UV range. TiO2 layers is grown, by Atomic Layer Deposition (ALD), on n-Si using TTIP and TDMAT. An exhaustive comparative study of the ALD processes has been performed to correlate the film properties and the electrode performances. The electrochemical tests show a high stability and assess the approach. To further improve the photocurrent, a photodoping step is carried out in the solution at rest potential. A reduction of Ti(IV) to Ti(III) in the near surface and the incorporation of protons occur. It leads to a spectacular enhancement of the photocurrent (30⨯) even for layers as thin as 3nm. The electrochemical response is naturally related to the doping conditions (duration, light intensity and λ) but also to the ALD conditions (process temperature and precursor nature). This work intends to explain the photodoping mechanism and emphasize the strong relation between the ALD process and the electrochemical performances. The presentation concludes with prospections on the effect of the Si nanostructuring.

N.6b.6
16:30
Authors : F. DVORAK, M. KRBAL, H. SOPHA, R. ZAZPE, J. PRIKRYL, J. M. MACAK
Affiliations : Center of Materials and Nanotechnologies, Faculty of Chemical Technology, University of Pardubice, Nam. Cs. Legii 565, 530 02 Pardubice, Czech Republic

Resume : The self-organized 1D TiO2 nanotubular layers have attracted considerable scientific and technological interest over the past two decades, all motivated by an expected great performance in the range of applications including photo-catalysis, solar cells, hydrogen generation and biomedical uses.[1,2] The synthesis of these nanotubular layers has been carried out by a conventional electrochemical anodization of Ti sheet that is very simple and a low-cost method. Except the 1D character, these nanotubes possess unique features such as tunable dimensionality, structural flexibility, unidirectional electron transport through nanotube walls, chemical and mechanical stability and biocompatibility. One of the major application targets of TiO2 nanotubes has been their utilization as scaffolds or templates for deposition of secondary materials towards new applications. For instance, tailoring the TiO2 anode chromophore interface can increase the efficiency of the cells, such as DSSC [3] and perovskite-based solar cells [4]. The enhancement can be achieved by increasing the interfacial surface area between the chromophore and the TiO2 oxide in order to facilitate charge separation. Unlike randomly ordered mesoporous TiO2 support, ordered nanostructures, such as self-organized TiO2 nanotubes with high aspect ratio or TiO2 nanowires, offer the advantage of directed charge transport and controlled phase separation between donor and acceptor materials and thus they seem to be one of the most promising nanoscale solar hybrid technologies. [5] Numerous techniques were utilized for this purpose, such as for example wet chemical and electrochemical routes or physical deposition techniques [6]. However, recently it has been shown that the utilization of Atomic Layer Deposition (ALD) can extend the functional range of TiO2 nanotubes by homogeneous coatings or decoration of tube interiors by a secondary materials [6-13]. ALD is the only technique of choice to coat in particular high-aspect ratio nanotube layers. Overall, the deposited coatings influence strongly photo-electrochemical [7-11], chemical, mechanical and structural [12] properties of nanotube layers. The presentation will be focused in detail on TiO2 nanotube layers of various aspect ratios coated by CdS and other chalcogenides using ALD. Experimental details and some very recent photo-electrochemical and structural characterization of a new type of heterostructured photo-chemical cells [11,14,15] will be presented and discussed. REFERENCES [1] J. M. Macak et al., Curr. Opin. Solid State Mater. Sci., 2007, 1-2, 3-17. [2] K. Lee, A. Mazare, P. Schmuki, Chem. Rev., 2014, 114, 9385-9454. [3] P. Roy et al., Nanoscale, 2010, 2, 45-59. [4] X.Gao et al., Chem. Commun., 2014, 50, 6368-6371. [5] B. O´Regan and M. Grätzel, Nature 353 (1991) 737. [6] J. M. Macak, Chapter 3 in: D. Losic and A. Santos, Electrochemically Engineered Nanoporous Structures, Spring-er International Publishing, Switzerland, 2015. [7] H. Sopha et al., Appl. Mater. Today, 2017, 9, 104-110. [8] Q. Gui et al., ACS Appl. Mater. Interfaces, 2014, 6, 17053-17058. [9] A. Ghobadi et al., Sci. Rep., 2016, 6, 30587. [10] S. Ng et al., Adv. Eng. Mater., DOI: 10.1002/adem.201700589 [11] M. Krbal et al., Nanoscale, 2017, 9, 7755-7759. [12] R. Zazpe et al., Langmuir, 2017, 33, 3208-3216. [13] L. Assaud, et al., ACS Appl. Mater. Interfaces, 2015, 7, 24533-24542. [14] R. Zazpe et al., xxxxxx, 2018, submitted. [15] F. Dvorak et al., in preparation.

N.6b.7
16:45
Authors : Maksym M. Plakhotnyuk *(a), Evgeniy Shkondin (b) , Flemming Jensen (c), Andrei V. Lavrinenko (b), Ole Hansen (d)
Affiliations : (a) DTU Energy, Department of Energy Conversion and Storage, Technical University of Denmark (b) DTU Photonik, Department of Photonics, Technical University of Denmark (c) DTU Danchip, National Center for Micro and Nanofabrication, Technical University of Denmark (d) DTU Nanotech, Department of Micro- and Nanotechnology, Technical University of Denmark

Resume : Aluminum-doped zinc oxide (AZO) films prepared with atomic layer deposition technique (ALD) have a huge potential to be an abundant and cheap transparent conductive oxide (TCO) technology in third-generation photovoltaics and other optoelectronic devices. In this work, we present results of ALD AZO process development aiming at TCO for silicon solar cells with highly corrugated light trapping nanostructures. First, the effect of ALD deposition temperature (100-250 oC) on optical and electrical properties of AZO is investigated. Fabricated 40 nm thick AZO films have an optical transmittance in average above 80% in the 500-750 nm spectral range and as low as 6 mΩ cm electrical resistivity. Our ALD AZO recipe is particularly optimized for deposition on microtextured and high aspect ratio corrugated nanostructures. The deposition recipe on such surfaces has longer ALD cycle time to obtain completed chemical reactions at the bottom of the surface corrugations. Electron microscopy images show that the AZO films are mostly defect-free and conformally covering the highly corrugated nanostructures. The results of this study demonstrate feasibility for low resistive, highly transparent and conformal AZO coatings on highly corrugated Si nanostructures such as black silicon.

N.6b.8
17:00
Authors : Elsje Alessandra Quadrelli
Affiliations : Equipe Chimie Organométallique de Surface, C2P2 - UMR 5265 (CNRS - Université de Lyon 1 - CPE Lyon), CPE Lyon, 43 Boulevard du 11 Novembre 1918, 69616 Villeurbanne Cedex, France

Resume : Since 2010, layered transition metal dichalcogenides (TMDs) such as group (IV) to (VI) metal disulfides have emerged as a new class of 2D materials possessing a wide range of interesting electronic properties. Among them, MoS2 and WS2 have been widely studied as 2D semiconductors possessing a direct bandgap, and proof-of-concept-devices such as FET, phototransistors, piezoelectric cells or chemical sensors were successfully built from mechanically-exfoliated MoS2 or WS2 monolayers. In order to develop new electronic devices based on these new 2D materials, few synthesis methods meet industrial requirements in term of uniformity, integration potential, and compatibility with existing production tools. In this context, we report here ALD/MLD and CVD methods (Atomic/Molecular Layer Deposition method, see Scheme, and Chemical Vapour Deposition), based on organometallic chemistry applied to the solid surface of the wafer, for the growth of 2D MoS2[1] and WS2[2] crystals . This contribution will present the characterization of the 2D layers and the proposed surface coordination chemistry mechanism at hand obtained with model studies on 3D silica beads. Acknowledgments: This work was carried out by the authors of the papers below within the framework of the partnership between the C2P2 research unit (UMR 5265 CNRS CPE Lyon University Claude Bernard Lyon 1) and CEA's Directorate of Technological Research (DRT) on the nanochemistry platform installed in CPE Lyon. All the authors of the papers below thank CPE Lyon, CNRS, CEA / LETI (Silicon Technology Department and nanocharacterization platform) for the support and the DRF / INAC for the collaboration in the framework of the "2D Factory" project. Ref: [1] Cadot et al. Nanoscale , 2017, 9, 467. [2] Cadot et al. JSVT A 2017, 35, 061502

N.6b.9

No abstract for this day


Symposium organizers
Christoph HOSSBACHPicosun Europe GmbH

World Trade Center – Freiberger Str. 39 01187 Dresden, Germany

christoph.hossbach@picosun.com
David MUÑOZ-ROJAS (Main organizer)Laboratoire des Matériaux et du Génie Physique (Grenoble INP-UGA/CNRS)

3 Parvis Louis Néel, 38016, Grenoble, France

david.munoz-rojas@grenoble.inp.fr
Maria BERDOVAUniversity of Twente

Dienstweg 1, 7522 ND Enschede, The Netherlands

m.berdova@utwente.nl
Seán T. BARRY Carleton University

203 Steacie Building, 1125 Colonel By Drive, Ottawa, Ontario, K1S 5B6, Canada

sean_barry@carleton.ca