preview all symposia

2018 Fall Meeting

SEMICONDUCTORS AND ELECTRONIC MATERIALS

R

New frontiers in wide-bandgap semiconductors and heterostructures for electronics, optoelectronics and sensing

Wide bandgap (WBG) semiconductors (such as SiC and GaN) are currently materials of choice for high power and high frequency electronics. Furthermore, alternative WBG materials (including Ga2O3, ZnO, and diamond) and heterostructures (including 2D/3D) are gaining more and more interest for advanced applications. The symposium is aimed to discuss current status of WBG technology and new frontiers in this research field.

Scope:

In the last 20 years, WBG semiconductors, in particular SiC and group III-Nitrides, experienced tremendous improvements in terms of materials quality, and are now employed in a variety of devices for high power/high frequency electronics, optoelectronics and sensing. From the materials side, the 4H-SiC polytype reached the highest quality and large area substrates (up to 150 mm diameter) are nowadays available for industrial applications. On the other hand, the research on cubic polytype (3C) is still in progress, with the aim of improving heteroepitaxy on hexagonal SiC or Silicon substrate. To date, GaN based optoelectronic devices (LED, lasers) and high-electron-mobility transistors (HEMTs) have been almost exclusively developed from heteroepitaxial materials on large diameter foreign substrates (sapphire, SiC, Si), eventually followed by layer transfer process. More recently, high quality bulk GaN and AlN substrates start to be available for research and devices. Notwithstanding these progresses on materials quality, several issues still remain to be addressed to exploit the full potential of SiC and GaN. Among the most relevant issues for SiC power devices are the reduction of interface traps density at SiO2/4H-SiC interfaces limiting the carrier mobility MOSFETs, activation of implanted dopants, contacts optimization on n- and p-type doped layers. The development approaches for normally-off HEMTs, gate dielectrics technology and thermal management are critical issues for GaN power devices.

Besides SiC and GaN, alternative WBG materials, such as Ga2O3, ZnO, and diamond, are currently the object of increasing interest. Furthermore, WBG nanostructures (e.g. nanowires and nanorods) and novel 2D/3D heterojunctions formed by the integration of graphene or other 2D materials with WBG semiconductors are under consideration for novel device applications.

The symposium will serve as a forum for experts from academia and industry to discuss the critical issues in the state-of-the-art SiC and GaN technologies, and wide space will be given to new frontiers in WBG materials and devices.

Hot topics to be covered by the symposium:

  • SiC homo- and hetero-epitaxy
  • SiC device physics (MOS interfaces, contacts, doping by ion implantation, reliability...)
  • III-N homo- and hetero-epitaxy (nucleation layer, interface control,...)
  • Bulk GaN growth and device processing
  • GaN based HEMTs technology (contacts, dielectrics, approaches to normally off transistors, ...)
  • High voltage Schottky diodes on GaN
  • III-Nitrides for optoelectronics, photovoltaics and power electronics
  • ZnO for electronics and sensing
  • Ga2O3 growth and devices processing
  • Thermal management in GaN and oxide devices
  • Integration of 2D materials (graphene, TMDs) with group III-Nitrides or SiC
  • Wide-bandgap materials for sensors, MEMS and NEMS
  • Advanced characterizations (AFM, TEM, optical, electrical,…) of Wide-bandgap materials and heterostructures

Scientific committee members:

  • Daniel Alquier (Univ. Tours, France)
  • Eldad Bahat-Treidel (FBH Berlin, Germany)
  • Matteo Bosi (CNR-IMEM, Italy)
  • Didier Chaussende (CNRS-SIMAP, France)
  • Gabriel Ferro (Univ. Lyon, France)
  • Filippo Giannazzo (CNR-IMM, Italy)
  • Philippe Godignon (CNM, Barcelona, Spain)
  • Peter Gammon (University of Warwick, United Kingdom)
  • Antonino La Magna (CNR-IMM, Italy)
  • Mike Leszczynski (UNIPRESS, Poland)
  • Farid Medjdoub (Univ. Lille, France)
  • Adrien Michon (CNRS-CRHEA, France)
  • Teresa Monteiro (Univ. Aveiro, Portugal)
  • Pawel Prystawko (UNIPRESS, Poland)
  • Fabrizio Roccaforte (CNR-IMM, Italy)
  • Sebastiano Ravesi (STMicroelectronics, Italy)
  • Rositza Yakimova (Linkoping University, Sweden)
  • Konstantinos Zekentes (FORTH, Greece)
  • Marcin Zielinski (NOVASiC, France)

Invited speakers:

  • Yvon Cordier (CNRS-CRHEA, France)
  • Martin Feneberg (OVGU Magdeburg, Germany)
  • Ferdinando Iucolano(STMicroelectronics, Italy)
  • H.X. Jiang (Texas Tech University; USA)
  • Birgit Kallinger (IISB Fraunhofer, Germany)
  • Atsushi Nishikawa (ALLOS, Germany)
  • Dominique Planson (University of Lyon, France )
  • Guylaine Poulin-Vittrant (University of Tours, France)

 

Start atSubject View AllNum.
 
ZnO : Yvon Cordier (CNRS, CRHEA, France)
11:00
Authors : Guylaine Poulin-Vittrant, Kevin Nadaud, Abhishek S. Dahiya, François Morini, Camille Justeau, Sarah Boubenia, Daniel Alquier
Affiliations : GREMAN (UMR 7347 CNRS, Université de Tours, INSA-CVL)

Resume : From the multitude of nanostructures under active research, Zinc oxide (ZnO) nanowires (NWs) have attracted enormous attention due to the materials? unique electrical, optical, mechanical and piezoelectric properties. Since 10 years, piezoelectric nanocomposites based nanogenerators (NGs) have gained extensive attention for their applications in mechanical energy harvesters and self-powered tactile sensors. Other emerging applications of ZnO single-crystalline NWs are high performance field-effect transistors (FETs) targeting low power applications, or highly sensitive FET biosensors. A possible route to reduce the price of these devices is using low cost manufacturing over large-area substrates, and hydrothermal synthesis appears as a promising solution. First we will present here a facile, cost-effective and industrially scalable process flow for the fabrication of high performance stretchable nanogenerators (SNG) on polydimethylsiloxane substrate. The SNG device exhibits excellent performance with a 35 µW peak output power achieved from a 8 cm2 device under a pressure of 100 kPa. Moreover, we investigated ZnO nanostructures for FETs on both conventional rigid (Si/SiO2) and unconventional flexible substrates (polyethylene terephthalate PET). The electrical characterization results (field-effect mobility, on/off current ratio, sub-threshold slope) reveal the potential of the present nanomaterials for high performance electronics. The key issues of efficient NGs and FETs will be presented, taking into account the technological constraints. This opens horizons for integrating high quality ZnO nanostructures as active semiconducting elements for autonomous flexible electronic circuits.

R.1.1
11:30
Authors : Elżbieta Guziewicz*, Ewa Przeździecka, Dawid Jarosz, Dymitr Snigurenko, Wojciech Woźniak, Bartłomiej S. Witkowski
Affiliations : Institute of Physics, Polish Acad. of Sciences, Aleja Lotnikow 32/46, PL-02668 Warsaw, Poland

Resume : Zinc oxide is a widely investigated semiconductor, whose application in electronics is hindered by difficulties with stable p-type doping. Present studies indicate that complicated interaction of native point defects with impurities and intentional dopants decide on a conductivity type and are able to provide shallow acceptor levels responsible for a conversion of conductivity towards p-type. Therefore temperature of growth is an vital factor as it decides on a type and a number of dominant native point defects in a material. Oxygen-rich conditions, which are beneficial for achieving the p-type conductivity, can be reached at very low (about 100oC) temperature of growth. We report on optical properties of 1-2µm thick ZnO and ZnO:N films that were obtained at 100oC at oxygen-rich conditions. We present temperature dependent photoluminescence spectra that show that type of donors and acceptors in the ZnO films depend on the growth temperature and that low temperature deposition is beneficial for acceptor doping. Such films, when doped with nitrogen and after a short annealing, show a stable p-type conductivity with hole concentration of 4.5x1016 and µ = 17.4 cm2/Vs. Acceptor binding energy has been determined as 119.3±1 meV. Cathodoluminescence images of p-ZnO:N show a complicated picture of acceptor- and donor-related emissions, which are gathered along micro-columns. The work was supported by the PBS2/A5/34/2013 project of Polish National Centre for Research and Development.

R.1.2
11:45
Authors : E. Zielony1, E. Przezdziecka2, E. Placzek-Popko1, W. Lisowski3, M. Stachowicz2, K.M. Paradowska1, R. Jakiela2, A. Kozanecki2
Affiliations : 1 Department of Quantum Technologies, Faculty of Fundamental Problems of Technology, Wroclaw University of Science and Technology, Wybrzeze Wyspianskiego 27, 50-370 Wroclaw, Poland 2 Institute of Physics, Polish Academy of Sciences, al. Lotnikow 32/46, 02-668 Warsaw, Poland 3 Institute of Physical Chemistry, Polish Academy of Sciences, Kasprzaka 44/52, 01-224 Warsaw, Poland

Resume : Nowadays, zinc oxide is one of the most intensely studied metal oxides, because of its large range of applications in optoelectronic devices operating in the near UV, solar cells, etc. Optoelectronic and sensing devices based on ZnO heterojunctions have been demonstrated, however, they used n-type ZnO. It is well known that in case of ZnO n-type doping is easily achieved but obtaining p-type doping in this material has proved to be a very difficult task. As a result lots of efforts are directed towards this issue. In this work the current understanding of defects in acceptor doped ZnO is briefly reviewed. The results of investigations of ZnO:As/n-GaN heterojunctions which have been successfully fabricated by MBE method are presented. The electrical properties of the junctions, as well as deep levels, have been studied by means of current-voltage (I-V), capacitance-voltage (C-V) characteristics and deep level transient spectroscopy (DLTS). Electrical measurements were supplemented by photoluminescence along with SIMS and XPS investigations. The I-V measurements allowed for detailed analysis of current mechanisms, through which the presence of trap states in the investigated junction was confirmed. The C-V results proved that the depletion region of the diode is located within the ZnO:As layer. The DLTS measurements revealed the presence of three hole trap related signals. Their activation energies and capture cross sections were determined as well as possible origin has been ascribed. The results obtained in this work allowed for better identification of defects found in ZnO:As/n-GaN heterojunction. Therefore, we believe that they can contribute to future fabrication of homojunctions based on ZnO.

R.1.3
12:00
Authors : P. Nekvindová1, J. Cajzl1, A. Macková2,3, P. Malinský2,3, J. Oswald4, R. Böttger5
Affiliations : 1University of Chemistry and Technology, Technická 5, 166 28 Prague, Czech Republic; 2 Nuclear Physics Institute, Czech Academy of Sciences, v. v. i., 250 68 Řež, Czech Republic; 3 Purkinje University, České mládeže 8, 400 96 Ústí nad Labem, Czech Republic; 4 Institute of Physics, Czech Academy of Sciences, v.v.i., Cukrovarnická 10/112, 162 00 Prague, Czech Republic 5 Helmholtz-Zentrum Dresden-Rossendorf, Institute of Ion Beam Physics and Materials Research, Bautzner Landstraße 400, 01328 Dresden, Germany

Resume : In this contribution we were focusing on Er ion implantation into the single-crystal ZnO substrates. The ion implantation was done into the three different crystallographic cuts, using the 190 keV Er+ ion implantation with fluences of 1x1016 and 5x1016 ions/cm2. The properties investigated were the Er concentration depth profiles, the structural changes caused by ion implantation process, the position of the Er ions in the structure and the luminescence properties. With the known positive effects of annealing on the structural and luminescence properties of the implanted crystalline samples, the Er: ZnO samples were subjected to high temperature annealing at 1000 °C. The aim of the study was to get knowledge of how the different structure of the particular crystal cuts may affect the photoluminescence at 1530 nm and subsequently to study the mechanism of structure recovery during the post-implantation annealing. According to the obtained results fluence increase caused expected enhancement of the erbium integral amount in the Er concentration depth profiles as well as increase of luminescence intensity at 1530 nm. The concentration maximum was approx. 2 at. % for the lower fluence and approx. 10 at. % for the higher fluence of ion implantation. Concentration maximum was found in the depth of 37-46 nm in the surface area of the samples. Luminescence at 1530 nm was detected immediately after ion implantation of erbium in both used crystal cuts. Moreover, high luminescence intensity in VIS region at 530 nm was observed after post–implantation annealing. Effect of the post-implantation annealing is different for both fluences as well as for the different cuts of ZnO. Acknowledgements: We acknowledge the Czech Science Foundation project GA18- 033462S. Some parts of the research were realised at the CANAM (Center of Accelerators and Nuclear Analytical Methods) infrastructure LM 2015056.

R.1.4
12:15
Authors : J. Cajzl, P. Nekvindová, B. Akhetova, A. Macková, P. Malinský, J. Oswald
Affiliations : University of Chemistry and Technology Prague, Technická 5, 166 28 Prague, Czech Republic; University of Chemistry and Technology Prague, Technická 5, 166 28 Prague, Czech Republic; University of Chemistry and Technology Prague, Technická 5, 166 28 Prague, Czech Republic; Nuclear Physics Institute of the Czech Academy of Sciences, 250 68 ?e?, Czech Republic, and Department of Physics, J.E. Purkinje University, ?eské mláde?e 8, 400 96 Ústí nad Labem, Czech Republic; Nuclear Physics Institute of the Czech Academy of Sciences, 250 68 ?e?, Czech Republic, and Department of Physics, J.E. Purkinje University, ?eské mláde?e 8, 400 96 Ústí nad Labem, Czech Republic; Institute of Physics, Czech Academy of Sciences, Cukrovarnická 10/112, 162 00 Prague, Czech Republic

Resume : Nowadays, various laser-active ion-doped crystalline materials are studied in the field of photonics and optoelectronics. Zinc oxide (ZnO) is a wide bandgap semiconductor (Eg = 3.3 eV) hexagonal crystal with low phonon energy and very good physical an chemical stability. Diamond on the other hand is a cubic crystal which has outstanding physical properties extremely high hardness, chemical and thermal resistance as well as biocompatibility. Lithium niobate (LiNbO3) is a trigonal crystal with unique combination of special properties especially acoustooptic and electrooptic effect. Selected crystals are in photonics nowadays broadly studied and represent different crystal surroundings for the incorporated erbium i.e., covalent crystal (diamond), congruent crystal with ionic-covalent character (LiNbO3), and a semiconductor (ZnO). Erbium (III) ions belong to the rare earth ions with the main energy transition 4I(11/2) - 4I(15/2) with emission around the wavelength of 1.5 um. In this contribution we will present theoretical and experimental study of Er ion implantation doping into several crystals of LiNbO3, diamond and ZnO. Ion implantation was done using energy of 190 keV and fluences from 1×10^14 to 5×10^16 ions/cm^2. Samples were characterized by means of Er concentration depth profiles, disorder of the structure, positions of erbium, as well as luminescence properties. Using DFT ab-initio approach several theoretical models were created and various properties were calculated including total structure energy, cohesive energy, erbium defect formation energy, etc. The theoretical results were compared with the experimental results and the detailed study of the positions of Er atoms in the crystal structures was discussed.

R.1.5
 
III-N heteroepitaxy : Farid Medjdoub (IEMN, CNRS, France)
14:00
Authors : Atsushi Nishikawa
Affiliations : ALLOS Semiconductors GmbH

Resume : GaN-on-Si HEMTs are very attractive for power device applications. However, large lattice constant and thermal expansion coefficient mismatch between GaN and Si cause low crystal quality of GaN, which limits the isolation of GaN. To resolve the poor isolation issue, carbon doping is typically applied to some parts of the GaN structure. However, carbon doping causes negative side effects like a deterioration of dynamic Ron and a further drop of crystal quality. Therefore, we have developed GaN-on-Si growth technologies with epitaxial lateral overgrowth and interlayers for crystal quality improvement for high isolation without carbon doping and precise strain-engineering. As a result, we have successfully obtained 7 µm thick and high crystal quality GaN layer on Si substrate. GaN-on-Si HEMTs show vertical and lateral grounded leakage current as low as 0.003 µA/mm^2 and 0.007 µA/mm at 600 V, respectively. Physical breakdown does not occur up to 1200 V. The critical electric field derived from lateral floating current-voltage measurements is as high as 1.7 MV/cm, which is much higher than typically reported values (< 1.0 MV/cm). Good switching performance is determined by a dynamic Ron ratio of less than 1.25 up to negative bias of 600 V. These results open the way to use GaN-on-Si for high power device applications even without carbon doping. Latest results show an extension to higher voltage operation beyond 1200 V.

R.2.1
14:30
Authors : A. Tajalli1, I. Abid2, R. Kabouche2, M. Zegaoui2, M. Meneghini1, G. Meneghesso1, E. Zanoni1, A. Nishikawa3 and F. Medjdoub2
Affiliations : 1Depertment of Information Engineering, University of Padova, Italy 2IEMN - CNRS, Institute of Electronics, Microelectronics and Nanotechnology, Villeneuve d’Ascq, France 3ALLOS Semiconductors GmbH, Dresden, Germany

Resume : This paper presents an assessment of a GaN-on-silicon buffer structure from the epi-wafer provider ALLOS Semiconductors GmbH targeting 1200 V power applications. Epiwafers with 150 mm diameter were grown by MOCVD on Si (111) substrates. Total GaN thickness is 7.1 µm with several interlayers for strain management. Owing to ALLOS’ GaN-on-Si growth technologies, high crystal quality of GaN is obtained, with XRD FWHM of (002) and (102) being 395 and 423 arcsec, respectively. Because of the thick and excellent crystal quality of GaN buffer, low leakage current and state-of-the-art vertical breakdown voltage above 1400 V was achieved at room temperature. Furthermore, it can be pointed out that despite the absence of carbon doping into the buffer layers, no evidence of punch-through effects has been noticed, which is reflected by an excellent electron confinement in the DC characteristics of test transistors. In order to clarify the advantage of this undoped GaN heterostructure, the properties of the new buffer are discussed in terms of trapping phenomena using various techniques such as; substrate ramp and back-gating transient measurements. Both substrate ramp and back-gating results indicate that the traps are negligible with the substrate voltage down to -1 kV. Some traps are activated by further decreasing the substrate voltage down to -1.2 kV which implies the charge injection in the buffer at higher electric field. This work shows that properly engineered carbon-free GaN-on-silicon heterostructures can not only provide outstanding vertical breakdown voltage with an excellent electron confinement addressing 1200 V applications but could also generate low trapping effects beyond 1 kV in a near future. ACKNOWLEDGMENT : This project has received partial funding from the European Union’s Horizon 2020 research and innovation programme under grant agreement No 720527 Project Innovative Reliable Nitride based Power Devices and Applications (InRel-NPower).

R.2.2
14:45
Authors : Hady Yacoub (1), Thorsten Zweipfennig(1), Joff Derluyn(2), Marianne Germain (2), Holger Kalisch (1) and Andrei Vescan (1)
Affiliations : (1) Compound Semiconductor Technology RWTH Aachen university, Sommerfeld str. 24 52074 Aachen, Germany. (2) EpiGaN nv, Kempische steenweg 293, Hasselt, Belgium

Resume : Dynamic on-resistance (Ron) dispersion of GaN-on-Si transistors has been thoroughly researched. In the vast majority of commercially available GaN-on-Si devices, this dispersion is ascribed to carbon-related traps found in the buffer. As the operation temperature of these devices in various DC/DC power modules can vary from room temperature (RT) up to 150° C, understanding the dispersion effects over this range is of outmost importance. So far, a physics-based understanding of the Ron dispersion versus temperature remains lacking. In this work, we investigate the temperature dependency of the trapping behavior in the carbon-doped GaN buffer. The study was based on industry-grade 150 mm wafers for 600 V power switching application. To suppress any surface-related effects, back-gate measurements were performed. Back-gate voltage ramps of 10 V/s were applied to the p-doped Si substrates while the 2DEG conductivity was measured using TLM test structures. The measurements were performed from RT up to 150°C. The resulting data indicate that charge trapping in the buffer is controlled via two competing mechanisms. The first is the buffer resistivity, which decreases with increasing of temperature. The second is localized current paths, which were found to be inversely proportional to temperature. This leads to a local maximum for the Ron dispersion between RT and 150°C in which the position of this maximum depends on the balance between localized current paths and buffer resistivity.

R.2.3
15:00
Authors : Alessandro Chini, Ferdinando Iucolano
Affiliations : University of Modena and Reggio Emilia, Department of Engineering “Enzo Ferrari”, Via P. Vivarelli 10, 41125, Modena, Italy; STMicroelectronics, Stradale Primosole 50, 95121 Catania, Italy

Resume : In this paper an analysis of the RON drifts in normally-off GaN HEMTs with a Carbon-doped buffer will be presented. A thermally activated increase with EA=0.83eV of the device RON during device pulse-mode operation between a high drain voltage off-state condition and a low drain voltage on-state one was experimentally observed. Said activation energy is in close agreement with that linked to the acceptor hole-trap associated to Carbon-doping in GaN buffers. Numerical simulations carried out in order to investigate the effect of said acceptor hole-trap has been carried out and their results can be summarized as follows: (1) during the off-state condition, a large positive voltage is applied amongst the drain terminal and the substrate back-contact, which is held at 0V; (2) said voltage induces the formation of a vertical depletion region in the gate-drain access portion of the device; (3) within the depletion region an hole-emission process is induced leading to the ionization of the acceptor hole-trap; (4) when the device is brought back to on-state condition, previously ionized acceptors cannot recapture holes due to the lack of an holes source within the device. As time passes by the amount of ionized acceptors increases leading to the formation of a negative charge layer in the GaN buffer which induces an upward bending of the band-diagram in on-state condition leading to the decrease of the 2DEG concentration and, as a consequence, an increase in the device RON.

R.2.4
15:15
Authors : Ewelina Rozbiegala, Sebastian Zlotnik, Krzysztof Rosinski, Mariusz Rudzinski
Affiliations : Ewelina Rozbiegala Department of Graphene and Materials for Electronics, Institute of Electronic Materials Technology, Wolczynska 133, 01-919 Warsaw, Poland; Sebastian Zlotnik Department of Graphene and Materials for Electronics, Institute of Electronic Materials Technology, Wolczynska 133, 01-919 Warsaw, Poland; Krzysztof Rosinski Department of Graphene and Materials for Electronics, Institute of Electronic Materials Technology, Wolczynska 133, 01-919 Warsaw, Poland; Mariusz Rudzinski Department of Graphene and Materials for Electronics, Institute of Electronic Materials Technology, Wolczynska 133, 01-919 Warsaw, Poland

Resume : III-nitride compounds, such as alloys composed of gallium nitride (GaN), are the prominent semiconductor systems in research and industry due to their importance for optoelectronic applications [1]. The technological use of Al-containing GaN alloys to realize high efficiency light emitters operating in the deep ultraviolet region still remains very limited [2], mainly due to large ionization energy of Mg dopant in p-type AlGaN structures. A thermal treatment has been commonly used for Mg activation in p-type GaN-based epitaxial structures to dissociate H atoms. However, finding optimum conditions with reasonable thermal budget requires a number of trials in order to obtain p-type conduction of Mg-doped GaN-based alloys. In this work, a post-growth thermal annealing was systematically carried out on GaN-based epitaxial structures grown by metalorganic vapor phase epitaxy (MOVPE), aiming to obtain p-type GaN-based structures with relatively high hole concentration and mobilities, and low resistivity. For this purpose, various common conventional furnaces (CFA; slow heating ramp, < 50 °C/min) and rapid thermal annealing (RTA; fast heating ramp, >100 °C/min) were implemented, as well as a wide range of temperatures, dwelling times and atmospheres. Comprehensive characterization of the annealed structures, revealed that in general RTA treatments are more effective than CFA, especially while using two-step profiles with oxygen containing atmosphere. [1] J. H. Park, et al., ACS. Energy Lett. 3, 655 (2018). [2] P. Pampili, et al., Mater. Sci. Semicond. Proc. 62, 180 (2017). Acknowledgments: This work was supported by National Science Centre (NCN) within the OPUS10 2015/19/B/ST7/02163 project.

R.2.5
 
SiC material & processing I : Birgit Kallinger ( IISB Fraunhofer, Germany)
16:00
Authors : Dominique PLANSON, Luong-Viet PHUNG, Pascal BEVILACQUA, Hassan HAMAD, Christophe RAYNAUD
Affiliations : Univ. Lyon, INSA Lyon, Ecole Centrale de Lyon, Université Claude Bernard Lyon 1, Ampère

Resume : Power electronic devices based on wide bandgap (WBG) semiconductors (like silicon carbide (SiC), gallium nitride (GaN), diamond (C) ...) offer better performances when compared to those based on silicon (Si). However, the periphery protection of these devices must be carefully designed to sustain high voltage bias. This paper shows how the OBIC (Optical Beam Induced Current) technique applied to WBG semiconductor devices could be useful to study the efficiency of different protection techniques. Firstly, a theoretical approach is given to present the method. Then, this electro-optical characterization technique is performed on high voltage power devices in a vacuum chamber allowing to study the spatial distribution of the electric field in the semiconductor. Finally, comparisons with Finite Elements Methods using TCAD tools are performed showing the local high electric field strength. The final paper will show more results and measurements on 4H-SiC diodes and on GaN and diamond Schottky diodes along with the extracted data obtained from (like minority carrier lifetime, ionization coefficients).

R.3.1
16:30
Authors : Anna Regoutz 1
Affiliations : 1 Department of Materials, Imperial College London, Exhibition Road, London SW7 2AZ, United Kingdom.

Resume : SiC is one of the prototypical wide bandgap semiconductors and has immense potential in high power applications. One of the greatest advantages and disadvantages of SiC to date is its native oxide, SiO2. The SiC/SiO2 interface remains a challenge for devices, as its high defect densities lead to detrimental effects on device performance. A variety of high temperature treatments in various atmospheres has been shown to compensate defects and increase device performance. However, information on the local chemistry at the interface after such processes is scarce, which limits the understanding of the interface and consequently the targeted improvement of device characteristics. The present work uses X-ray photoelectron spectroscopy (XPS) to systematically study the elemental distributions and chemical environments across the 4H-SiC/SiO2 interface after high temperature treatments in a variety of nitrogen-containing atmospheres, including N2, NO, NH3, and a NO/NH3 combination. The results from spectroscopy are correlated with electrical measurements, providing new, detailed insights into the relationship between interface chemistry and device behaviour.

R.3.2
16:45
Authors : P. Fiorenza 1, M. Saggio 2, F. Iucolano 2, F. Roccaforte 1
Affiliations : 1. CNR-IMM, Catania, Italy; 2. STMicroeletronics, Catania, Italy

Resume : 4H-SiC MOSFETs are still affected by some reliability concerns. Strong efforts of the SiC community are currently devoted to the comprehension of the phenomena responsible for the threshold voltage (Vth) instability. The Vth instability is due to electron trapping at near-interfacial oxide traps (NIOTs) that extend spatially into the gate oxide from the SiC interface. Hence, it is important to define accurate methodologies to quantify these detrimental phenomena and qualify the gate oxide processes in real devices. In this context, we propose a combination of gate capacitance and gate and drain current transient measurements allowing to determine the amount, the position and the charge/discharge kinetics of the NIOTs and the consequent Vth variation. In particular, the transient gate-capacitance and gate-current measurements allow to estimate the position (about 1 nm from the SiC interface) and to quantify the amount (2×1011 cm-2) of the NIOTs. However, these methods are rather complex to be routinely implemented in the qualification of gate oxides in 4H-SiC MOSFETs, although they are very useful to study the physics involved in the charge trapping phenomena. In this scenario, transient drain current (ID-t) measurements were used to estimate the time variation of the Vth in 4H-SiC lateral MOSFETs at fixed gate bias avoiding the partial relaxation of the trapped charge. The proposed approach represents a simple method to monitor the progressive time variation of the Vth under charging condition of the NIOTs. The method can be potentially employed also in vertical devices for a standardization of the qualification process of 4H-SiC MOSFETs.

R.3.3
17:00
Authors : Maria Cabello, Victor Soler, Lars Knoll, Josep Montserrat, Jose Rebollo, Andrei Mihaila and Philippe Godignon
Affiliations : IMB-CNM,CSIC, Campus UAB, 08193, Bellaterra, Spain; ABB Switzerland Ltd, CRC, 5405, Baden-Dättwil, Switzerland;

Resume : In previous works, an alternative gate oxide configuration was proposed in order to enhance the SiO2/SiC interface quality, enabling high channel mobility (µ) n-channel 4H-SiC lateral MOSFETs. The gate oxide was grown by the combination of a rapid thermal oxidation in N2O ambient and a boron diffusion process into the SiO2. With this method high µ values have been obtained [1, 2]. In this paper we study the effect of the B treatment on 6H-SiC MOSFETs compared to their 4H-SiC counterparts. In regular samples without B treatment, µ is greater in 6H-SiC due to the higher SiO2/6H-SiC interface quality. The gate oxide B treatment highly increases µ values in 4H-SiC whereas it doesn’t improve µ values in 6H-SiC. In addition, and contrarily to results obtained in 4H-SiC, a great instability in the threshold voltage has been observed. The µ increase in 4H-SiC is related to the decrease of near interface oxide traps (NIOTs) by the B treatment. Then, a different NIOTs density and energy location, created by B diffusion process, can be seen as a possible explanation for the different µ behavior in both polytypes. In the final work, results related to MOSFETs with and without B treatment on 4H and 6H-SiC will be presented. [1] M. Cabello et al., Appl. Phys. Lett., vol. 111, no. 4 (2017). [2] D. Okamoto et al., IEEE Electron Device Lett. 35(12), 1176–1178 (2014)

R.3.4
17:15
Authors : Victor Soler, Maria Cabello, Viorel Banu, Josep Montserrat, José Rebollo and Philippe Godignon
Affiliations : Centre Nacional de Microelectrònica, CNM-CSIC, Barcelona, Spain

Resume : CMOS is Si preferred technology for digital circuits and smart power. Development of SiC CMOS devices is important for higher operating temperature operation and their integration with SiC power devices. Complementary n-channel and p-channel MOSFETs were successfully fabricated using a process technology fully compatible with our HV SiC VDMOS technology. Gate oxide includes a boron diffusion step to improve the SiO2/SiC interface quality. Electrical characterization up to 250ºC was performed and a summary of results is presented. MOSFETs show gate control, but present differences in output current, threshold voltage (Vth), mobility (?fe) and temperature behavior. Higher Vth and ?fe values are found in n-MOSFETs. At high temperature, n-MOSFETs show a slightly output current decrease whereas p-MOSFETs current capability is increased by a factor of 5. A preliminary CMOS inverter was fabricated integrating a p-type and a n-type MOSFET on the same cell. Channel length is the same for both structures and their widths were adjusted to compensate bulk mobility theoretical differences. Although n-type and p-type MOSFETs are not balanced, SiC CMOS inverter characterization showed a gain value of ~120 (almost two times standard Si inverter?s gain), good response at 1 kHz and high temperature performance. More efforts are still needed to balance CMOS MOSFETs. These results will help us to optimize the design and the process technology to get proper CMOS devices.

R.3.5
 
Poster Session I : Organizers
17:30
Authors : P. Fiorenza 1, M. Vivona 2, S. Di Franco 1, S. Sanzaro 1, E. Smecca 1, A. Alberti 1, M. Saggio 3, F. Roccaforte 1
Affiliations : 1. CNR-IMM, Catania, Italy; 2. University of Southampton, UK; 3. STMicroeletronics, Catania, Italy

Resume : Today, Silicon carbide (4H-SiC) is the most important wide band gap material for power electronics. While SiO2 is the common gate dielectric for 4H-SiC MOSFETs, high permittivity materials can be promising to improve the device reliability in high-voltage and harsh environment applications. Because of its interesting properties (e.g., high permittivity, high thermal stability, reasonable conduction band offset with 4H-SiC), aluminum oxide (Al2O3) is an attracting dielectric for SiC. In this work, the electrical properties of Al2O3 films deposited by reactive ion sputtering (RIS) were investigated. MOS capacitors were fabricated on n-type epitaxial 4H-SiC layers grown onto heavily doped substrates. A thermal oxidation at 1150°C allowed to grow a thin interfacial SiO2 barrier layer prior to Al2O3 deposition. The Al2O3 films were deposited by RIS from an Al target in oxygen ambient at low deposition rate. An ex-situ chemical characterization on blanket samples by XPS confirmed the formation of stoichiometric Al2O3. The MOS capacitors were characterized by C-V and I-V measurements both before and after an annealing process in N2 above 800°C. In the as prepared MOS capacitors, a considerable positive shift of the flat band voltage was observed, due to the presence of negative charges inside the oxide (in the order of 61012cm-2). After annealing a lower flat band voltage shift was observed, indicating a reduction of the net negative effective charge. At the same time, a reduction of the hysteresis effect in the C-V curves was observed. The I-V curves of the annealed capacitors showed that a Fowler-Nordheim-like behavior sets in at around 12 V, with barrier height in the order of 2.2-2.4 eV.

R.P1.1
17:30
Authors : P. Fiorenza 1, G. Greco 1, S. Di Franco 1, F. Giannazzo 1, S. Monnoye 2, M. Zielinski 2, F. La Via 1,F. Roccaforte 1
Affiliations : 1. CNR-IMM, Catania, Italy; 2. NOVASiC, Le Bourget-du-Lac Cedex, France

Resume : The cubic polytype of silicon carbide (3C-SiC) is considered a promising material for MOSFETs technology. In fact, the SiO2/3C-SiC interface is expected to have lower interface traps densities with respect to the ?most popular? SiO2/4H-SiC interface. Hence, a high inversion channel mobility can be achieved using this polytype. However, although high mobility 3C-SiC MOSFETs have been demonstrated, several works report of 3C-SiC MOS systems characterized by a high interface states density Dit and large negative shift of the flat band voltage (VFB). In this work, the electrical properties of SiO2 thin films thermally grown on 3C-SiC/Si samples were studied by means of MOS capacitors, either before and after a chemical-mechanical-polishing (CMP) of the surface. The oxide quality was evaluated in terms of the flat band voltage shift in the C-V curves. The C-V curves on MOS capacitors with a thermal oxide grown before CMP gave an oxide thickness of 56 nm, with a VFB of -9V. After the CMP process, the thermal oxide thickness reduced to 42 nm (due to a lower oxidation rate of a CMP treated surface), with a VFB of about -7.5V. The interface state density (Dit) at 0.1eV below the 3C-SiC conduction band edge, estimated using the conductance method, was 4×1012 cm-2eV-1 and 8×1012 cm-2eV-1 for the 3C-SiC samples before and after the CMP process, respectively. In spite of the Dit increase, the shift of the VFB was reduced after the CMP. The I-V curves collected on the same capacitors revealed that the breakdown field is notably improved after the CMP increasing from 4 MV/cm up to 6.5MV/cm, but is still much lower with respect to an ideal SiO2 layer. Nanoscale characterization performed by conductive atomic force microscopy (C-AFM) was carried out in order to clarify the causes of the premature breakdown mechanisms in these oxides.

R.P1.2
17:30
Authors : M. Spera1,2,3, G. Greco1, R. Lo Nigro1, S. Di Franco1, D. Corso1, P. Fiorenza 1, F. Giannazzo1, M. Zielinski4, F. La Via1, F. Roccaforte1
Affiliations : 1 CNR-IMM, Catania, Italy 2 University of Catania, Italy 3 University of Palermo, Italy 4 NOVASiC, Le Bourget-du-Lac Cedex, France

Resume : Silicon carbide (SiC) is considered first choice semiconductor for high efficiency power electronics devices. The vast majority of SiC devices are fabricated on the hexagonal polytype (4H-SiC), owing to its availability on large area substrates (up to 150mm) and good electronic quality. However, compared to 4H?SiC, the cubic polytype (3C-SiC) can offer some advantages in MOSFETs technology, thanks to a low density of SiO2/3C-SiC interface traps, which can results into a high inversion channel mobility and, hence, to a low on-resistance. Additionally, 3C-SiC can be grown on large area Si substrates at low cost. Ohmic contacts are an important fabrication step in power devices. In this work, we investigated the Ohmic contact formation in n-type and p-type type 3C-SiC, using Ni and Ti/Al/Ni layers annealed at 950°C, respectively. The 3C-SiC layers were epitaxially grown onto Si substrates by MOCVD. Circular TLM (C-TLM) structures were used for electrical characterization of the contacts and of the 3C-SiC layers. A specific contact resistance of 3.7?10-3 cm2 and of 1.8 ?10-5 cm2 has been obtained for the contacts to n-type and to p-type 3C-SiC. A resistivity 1.69 ?cm for the lightly doped n-type and 0.36 ?cm for the heavily doped p-type layer was estimated in the two cases. Several structural and morphological analyses (XRD, AFM, TEM) have been used to get information on the microstructure of the metal layers, correlating phases formation with electrical behavior of the contacts. Moreover, the temperature dependence of the specific contact resistance was used to determine the physical mechanism involved in the current transport in the contacts, as well as the metal/3C-SiC barriers.

R.P1.3
17:30
Authors : M Zimbone, M. Zielinski,E.G.Barbagiovanni, F La Via
Affiliations : M Zimbone1), M. Zielinski2),E.G.Barbagiovanni1), F La Via1) 1) CNR Institute for Microelectronics and Microsystems 95121 - Catania, Italy - Strada VIII, 5, Italy 2) Savoie Technolac - Arche Bat.4 Allée du Lac d'Aiguebelette BP 267 73375 Le Bourget du Lac Cedex, France

Resume : Silicon carbide is an emerging material for high voltage, current, power and temperature devices. 4H-SiC development is considerable in the last years, while the development of 3C-SiC represents a scientific and technological challenge especially if it is grown on a Si substrate. 3C-SiC and Silicon have the same structure and stacking sequence (along the [111] direction), but the 20 % lattice mismatch creates a stacking fault every 5 layers leading to a stacking disorder and dislocations at the interface. This issue may be partially overcome by using compliance substrates matching the Si and SiC lattice parameters and being able to reduce residual stress in the wafer. In the present work, we use a Si1-xGex virtual substrate for the growth of 3C-SiC. From simulations for the value of x, minimizing disorder happens around x=0.12 and thus several growths were performed on Si1-xGex samples with x ranging from 0.10 to 0.15. Optical and SEM inspections reveal that defects concentration increases with increasing Ge concentration and carbonization temperature. Raman analyses of the TO and LO modes (at 796 cm-1 and 972 cm-1) show a good crystal quality for x =0.10 at low carbonization temperature while polycrystalline material is obtained for x=0.15. A shift in the Si-Ge Raman peak (at about 520 cm-1) and TEM analyses confirm the presence of germanium dots in polycrystalline sample. Results are discussed and correlated with the growth parameters.

R.P1.4
17:30
Authors : Raphael Müller; Florian Huber; Okan Gelme; Manfred Madel; Alexander Minkow; Sebastian Bauer; Ulrich Herr; Klaus Thonke
Affiliations : Institute of Quantum Matter / Semiconductor Physics Group, Ulm University, Albert-Einstein-Allee 45, 89081 Ulm, Germany;

Resume : The initial crystal formation of zinc oxide (ZnO) layers in a high temperature chemical vapor deposition (CVD)-based growth process was investigated. We use methane (CH4) as precursor to reduce ZnO powder as source material [1,2]. The resulting zinc vapor is locally re-oxidized in the substrate area with pure oxygen thereby formes a ZnO layer. By controlling the gas flows one can control the II-VI ratio very precisely, as well as the duration of the growth. In the presented work, this scheme was used to grow a series of samples with increasing supply of zinc vapor in order to monitor the resulting layer formation. As substrates c-plane sapphire with aluminum nitride (AlN) nucleation layer were used. To visualize and characterize the samples grown, atomic force microscopy (AFM), scanning electron microscopy (SEM) and electron backscatter diffraction (EBSD) measurements were performed, as well as high resolution X-ray diffraction (HRXRD) and photoluminescence (PL) measurements. We show that the ZnO heteroepitaxial layer is growing in c-plane direction right from the start of the process and forms a closed, smooth high-quality single crystalline layer after a growth time of ten minutes only. Such high quality ZnO layers have great potential for a broad range of optoelectronic applications, or can be used as sacrificial layers for the growth of free-standing gallium nitride (GaN) [3]. References [1] F. Huber et al., New CVD-based method for the growth of high-quality crystalline zinc oxide layers, Journal of Crystal Growth 445 (2016) p. 58-62 [2] H. A. Ebrahim et al., Kinetic study of zinc oxide reduction by methane, Chemical Engineering Research and Design 79 (2001) p. 62-70 [3] F. Lipski et al., Studies towards freestanding GaN in hydride vapor phase epitaxy by in‐situ etching of a sacrificial ZnO buffer layer 6 (2009) p. 352-355

R.P1.5
17:30
Authors : S. Rascunà 1), M. Spera 2), G. Greco 2) , S. Di Franco 2), P. Badalà 1), C. Bongiorno 2), E. Smecca 2), A. Alberti 2), , F. Giannazzo 2), F. Roccaforte 2), M. Saggio 1)
Affiliations : 1) STMicroelectronics SRL, Stradale Primosole 50, 95121 Catania, Italy 2) CNR-IMM, VIII Strada 5, 95121 Catania, Italy

Resume : Silicon carbide (4H-SiC) is one of the key materials to fabricate high-power devices in the next generation of power electronics systems. One of the most important issues in SiC technology is the fabrication of reliable low-resistance back side Ohmic contacts to SiC devices. For the n-type SiC, annealed (900-1000°C) Ni-films are commonly used to form nickel silicide (Ni2Si) back side Ohmic contacts. However, today there is the need to replace the conventional thermal annealing by laser annealing processes carried out on the back side of thinned wafers at the end of the fabrication flow. This work reports on the formation and characterization of Ni-based back side Ohmic contacts by laser annealing for SiC power diodes. Nickel films, 100nm thick, have been sputtered on the back side of heavily doped 4H-SiC 110µm thinned substrates after mechanical grinding. Then, the metal films have been beamed with an UV excimer laser with a wavelength of 310 nm, under different conditions of energy density and number of scans. The morphological and structural properties of the samples were analyzed by means of different techniques (SEM, AFM, XRD, TEM). Nanoscale electrical analyses by conductive AFM (C-AFM) allowed to correlate the morphology of the annealed metal films with their local electrical properties. TLM structures have been produced to measure the electrical properties of the contacts. Finally, the best process has been integrated in the fabrication of 650V SiC Schottky diodes.

R.P1.6
17:30
Authors : Valdas Jokubavicius, Mikael Syväjärvi, Rositsa Yakimova
Affiliations : Department of Physics, Chemistry and Biology (IFM), Linköping University, 58183, Linköping, Sweden.

Resume : Cubic silicon carbide (3C-SiC) has attracted a lot of attention in development of various semiconductor device applications. Compared to its hexagonal counterparts, the 3C-SiC possesses higher electron mobility (~1000 cm2V-1s-1) and lower bandgap (~2.3eV). Among SiC-based MOSFETs, the highest channel electron mobility of above 200 cm2/Vs was demonstrated using 3C-SiC material. High potential of 3C-SiC for semiconductor applications has been recognized by the European Commission which is financing a collaborative research project ”CHALLENGE” (2017-2021) aiming at pushing 3C-SiC growth and device fabrication technologies closer to the market. The 3C-SiC can be grown on silicon or hexagonal SiC substrates. The latter one is advantageous for heteroepitaxial growth of 3C-SiC due to a better matching in lattice constants and thermal expansion. In the past, our group has demonstrated that high crystalline quality 3C-SiC can be grown on Si-face on off-oriented 4H-SiC substrates using sublimation epitaxy. In this work, we will present results on the growth of 3C-SiC on C-face on off-oriented 4H-SiC substrates. We will discuss the differences in the initial nucleation, lateral enlargement and defects formation in 3C-SiC grown on Si- and C-faces. Preliminary results indicate that 3C-SiC with better surface morphology can be grown on C-face. Results on crystal structural quality and surface morphology obtained by XRD, LTPL, AFM and optical microscope will be presented.

R.P1.7
17:30
Authors : E. Przeździecka2, K.M. Paradowska1,*, W. Lisowski3, M. Stachowicz2, R. Jakieła2, A. Reszka2, S. Kryvyi1, D. Jarosz1,S. Chusnutdinow2, E. Zielony1, A. Wierzbicka2, E. Płaczek-Popko1, A. Kozanecki2
Affiliations : 1Department of Quantum Technologies, Faculty of Fundamental Problems of Technology, Wroclaw University of Science and Technology, Wybrzeze Wyspianskiego 27, 50-370 Wroclaw, Poland 2Institute of Physics, Polish Academy of Sciences, Al. Lotnikow 32/46, 02-668, Warsaw, Poland 3Institute of Physical Chemistry, Polish Academy of Sciences, M. Kasprzaka 44/52, 01-224 Warsaw, Poland

Resume : A series of ZnMgO films doped with antimony was grown by molecular beam epitaxy method (MBE) on differently oriented Al2O3 (a-, c- and r-) and ZnO substrates. The subset of polar and nonpolar layers with the same Mg concentration was grown directly in the same conditions. Also ZnO samples with varying concentration of Sb dopant were grown and analyzed. Investigation consists of Raman spectroscopy, photoluminescence, X-ray, SIMS, AFM and XPS measurements, which provided information about properties of the doped layers. Based on XPS three chemical states of Sb were observed: the dominant which we correlated with Sb3+ (BE 539,7 eV) and a very small amount of Sb5+ (BE 540,5 eV) as well as Sb3- (BE 538,03 eV). Experiment including Raman scattering measurements were conducted using 514 nm Ar2+ laser line without polarization. Besides Al2O3 and ZnO modes, Raman spectra revealed additional modes at around 509, 532 and 575 cm-1, associated with Sb dopants, with intensities increasing with Sb doping. Based on “c” and “a” parameters measured by XRD as well as ZnO E2High Raman mode shift the value of in-plane stress has been calculated and compared with a stress which would have been observed in the case of doping size effect. Also annealing effect on the ZnO:Sb layers on Raman spectra was analyzed and the visible presence of compressive in-plane stress was attributed mostly to the thermal residual stress, resulting from differences in thermal expansion coefficients of ZnO and Al2O3 .

R.P1.8
17:30
Authors : Gheorghe Pristavu, Gheorghe Brezeanu, Razvan Pascu, Florin Draghici, Marian Badila.
Affiliations : University Politehnica of Bucharest; University Politehnica of Bucharest; National Institute for Research and Development in Microtechnologies; University Politehnica of Bucharest; University Politehnica of Bucharest;

Resume : The development of high-temperature sensors (up to 400°C), based on SiC Schottky diodes, which are able to work in harsh conditions requires using high-barrier (SBH) Schottky contacts, such as nickel silicide. It is well known that post metalization annealing, targeting silicide formation, leads to SBH non-uniformity on the contact surface. On the other hand, sensor performances are intimately tied to the value and stability of Schottky barrier height. This paper discusses available characterization methods for high-temperature SiC-Schottky sensors, especially regarding their ability to evince bias and temperature domains where sensitivity is both high and constant. Measurements are performed on a batch of Ni/4H-SiC Schottky diodes with varying contact diameters and annealing conditions. Conventional parameter extraction techniques evince the presence of contact inhomogeneity. The degree of non-uniformity is assessed using two different characterization methods. One uses a non-uniformity parameter to indicate temperature intervals where temperature sensitivity is constant while the other is based on the ideal diode theory, yielding bias conditions where high sensitivities can be achieved. The impact of series resistances’ effects on sensitivity value is also investigated. It is demonstrated that, despite highly inhomogeneous electrical behavior, SiC-Schottky diodes exhibit stable sensitivity (above 1.5mV/°C) over a wide temperature and bias range.

R.P1.9
17:30
Authors : Tomasz A. Krajewski (1), Renata Ratajczak (2), Krzysztof Kopalko (1), Wojciech Wozniak (1), Elzbieta Guziewicz (1)
Affiliations : (1) Institute of Physics, Polish Academy of Sciences, Aleja Lotnikow 32/46, PL-02668 Warsaw, Poland; (2) National Center for Nuclear Research, ul. Soltana 7, 05-400 Otwock, Poland

Resume : The research on controlling the optoelectronic properties of zinc oxide (ZnO) is of relevant scientific interest due to the possibility of using this compound in optoelectronic devices. Recently, a lot has been made towards complementing the basic emission in ZnO with the visible and IR range, one of the ways is implantation. The work describes the influence of Yb and Pr ions implanted into the ALD-ZnO films on their structural, optical and electrical properties. The as grown ZnO/GaN and ZnO/Si layers were exposed to the three different doses of Yb and Pr ions (5×10^(14), 1×10^(15) and 2×10^(15) at.cm^(-2)) and then subjected to a rapid thermal annealing (RTA). The effects of such processing were examined by the Rutherford Backscattering Spectroscopy, photoluminescence and Hall measurements. After implantation the RE ions have introduced substantial damage to the ZnO lattice, which requires RTA for recrystallization and activation of RE emission. This is reflected by electrical parameters of the films due to the change of ion charge state (2+/3+) as observed for Yb. It should be noticed that a fraction of substitutional RE ions after implantation and annealing processes is similar, but some differences in the fraction of RE ions that precipitate on the crystal surface were observed. The stronger out-diffusion process is observed for Yb ions. The work was partially supported by the Polish National Centre for Research and Development (NCBiR) through the project PBS2/A5/34/2013.

R.P1.10
17:30
Authors : I. Cora 1, Zs. Fogarassy 1, Zs. Baji 1, Z. Szabó 1, A. Rečnik 2, Cs. Dücső 1, R. Lovics 1, Zs. E. Horváth 1, B. Pécz 1
Affiliations : 1 MTA EK MFA, Budapest, Hungary 2 Jožef Stefan Institute, Ljubljana, Slovenia

Resume : Mg and Ga-doped ZnO (MZO and GZO) thin films are observed to possess advantageous features such as a tunable, wide and direct band gap, large exciton binding energy, and low growth temperature [1]. For example, Mg doping can increase and enlarge the band gap and the absorption coefficient. Doped layers were deposited by atomic layer deposition onto different types of substrates with different dopant element concentrations, at different ambient temperatures and were further ex-situ annealed. Samples were studied by XRD, transmission electron microscopy (SAED, HRTEM), UV-VIS spectroscopy and their band gaps were calculated. The electronic structure of the films was examined with Hall measurements. Texture of the films and the dopant induced defects (stacking faults, polarity changing inversion boundaries) in the ZnO/MgO crystal structure were studied in detail in the function of the deposition parameters. The research was funded by the National Research, Development and Innovation Fund (NKFIA) via the TÉT_16-1-2016-0025 project and by ARRS, Slovenia (project no. BI-HU/17-18-003 ). Authors thank to the support of the Hungarian National Science Fund (NKFI) OTKA ( 108869 and grant No. PD 116579). [1] Z.H. Li, E.S. Cho, S.J. Kwon: Mg-doped ZnO thin films deposited by the atomic layer chemical vapor deposition for the buffer layer of CIGS solar cell. Appl. Surf. Sci., 314 (2014), p. 97

R.P1.11
17:30
Authors : S. Vladimirova, V. Platonov, M. Batuk, J. Hadermann, A. Yapryntsev, M. Rumyantseva, A. Gaskov
Affiliations : Moscow State University, Moscow State University, University of Antwerp, University of Antwerp, Kurnakov Institute of General and Inorganic Chemistry, Moscow State University, Moscow State University

Resume : Semiconductor gas sensors are widely used to control the concentration of toxic substances in the air. N-type oxides SnO2, ZnO, In2O3 are typical sensitive materials, but their use in humid air is limited because of the strong decrease in the signal value. The negative influence of water can be reduced by making p-n structure or doping by different metals. This work is devoted to the investigation of nanofibres based on cobalt modified ZnO, obtained by electrospinning. The method makes it possible to obtain multicomponent nanofibres with different distribution of components: homogeneous solid solutions, fibers with an island distribution of the second component over the surface of the main phase filament, and core-shell structures. The samples were characterized by XRD, BET, SEM, HAADF-STEM, and STEM-EDX mapping. The modification with low cobalt concentration (5 at. %) results in formation of hollow tubes of ZnO-based solid solution whose resistance is 1000 times higher than the corresponding value for bare ZnO nanofibres. The increase in cobalt content leads to the appearance of second phase ? zinc cobaltite with different [Co]/[Zn] ratio. The sensor properties of nanofibers to NO, NO2, NH3, CO and H2S were studied under different air humidity conditions at the temperature 80-250 oC. Nanofibres based on cobalt modified ZnO demonstrates high sensor signal toward H2S which is retained with increasing air humidity up to RH = 60%.

R.P1.12
17:30
Authors : M.A. Pietrzyk, M. Stachowicz, A. Wierzbicka, A. Reszka, A. Kozanecki
Affiliations : Institute of Physics, Polish Academy of Sciences, Aleja Lotnikow 32/46 PL-02668, Warsaw, Poland

Resume : In our work, we present the comparison of the growth conditions, structural and optical properties of ZnO/ZnMgO single, double and multiple quantum wells (QWs) in nanocolumns ZnMgO grown on different substrates (Si, c-, r- a - plane Al2O3, SiC) by MBE. We show that it is possible to grow self-organized ZnMgO nanocolumns without employing a catalyst. SEM shows that ZnMgO nanocolumns with various density and diameter could be controlled by growth temperature. We have grown these structures with two different procedures: without any buffer and with a thick ZnO buffer layer. We applied and optimized a low temperature ZnO buffer layer before the growth of ZnMgO nanocolumns. The shape and thickness of nanocolumns in the samples with buffer layer are improved significantly. QWs interactions are a very interesting topic to investigate due to vague information about the critical thickness of barrier at which the electron wave functions of two neighboring quantum wells still overlap. Although there are some theoretical attempts to calculate the values of critical distance for given Mg content, there is insufficient volume of experimental data in this field. We also compare the luminescence spectra of ZnO/ZnMgO structures grown on the semipolar substrate with r orientation and on a polar c-oriented substrate is done. It is probably the first experiment comparing the quantum confined Stark effect for structures of different polarities, grown on Al2O3 substrates of different crystallographic orientations. Acknowledgements The project was supported by the Polish National Science Centre (NCN) based on the decision No: DEC-2013/09/D/ST5/03881

R.P1.13
17:30
Authors : Razvan Pascu1, Cosmin Romanitan1, Pericle Varasteanu1, Gheorghe Brezeanu2, Gheorghe Pristavu2, Valentin Craciun3
Affiliations : 1 - National Institute for Research and Development in Microtechnologies ? IMT Bucharest; 2 - University ?Politehnica? of Bucharest; 3 - National Institute for Laser, Plasma and Radiation

Resume : The SiC oxidation issues are related to the incidence of both interface states density (Dit) and Near Interface Oxide Traps density (DNIOT), which considerably affect the channel mobility and the threshold/flat band voltage instability. In this context, we propose an alternative technological approach to obtain the MOS oxide on SiC using a thin film of amorphous silicon (a-Si) deposited by sputtering on SiC substrate, later thermally oxidized at 1100 °C for 30 minutes, in dry atmosphere. Different thicknesses of the the a-Si films (5, 10 and 15 nm) have been deposited and oxidized, obtaining finally the oxide thicknesses around 15, 30 and 40 nm. They have compared with similar thicknesses ones obtained by standard thermal oxidation of SiC substrate. The X-Ray Reflectivity (XRR) measurements revealed the presence of an interface layer (IL) between SiO2 and SiC, which was with almost three fold smaller in the case of the samples fabricated using an amorphous silicon, lower than 1 nm. Also, the successive capacitance-voltage (C-V) measurements indicated a superior behaviour of these samples, confirming that a thinner IL leads to a lower NIOTs density. Thus, a notable decrease (up to 80 %) of the NIOTs densities was achieved for the a-Si related samples in comparison with the standard as oxidized ones.

R.P1.14
17:30
Authors : Cristina Miccoli, Ferdinando Iucolano
Affiliations : STMicroelectronics

Resume : SILICON CARBIDE (SiC) material has attracted substantial attention during the last few years as a promising candidate for making power devices for high-temperature operation and under harsh environments. In spite of the considerable progress in device performance, reliability may be a limiting factor for the introduction of SiC MOSFETs in commercial power devices. One of the major reliability concerns is the instability of the threshold voltage in MOSFETs and, similarly, of the flat-band voltage in capacitors under normal operation conditions. This instability is attributed to trapping of channel electrons in interface and bulk oxide traps. The main goal of this work is to investigate how the trapped charges at SiO2/SiC interface influence the C/V curve. In particular, by means of 2-D numerical simulations (SILVACO tools), we could isolate the two different contributions from p-type and n-type doped regions of our MOSFET and we considered both donor and acceptor traps contributions. Then, we compared the simulation results with experimental C/V curves. A good agreement between TCAD simulations and experimental measurements was obtained. So, device simulations can provide a better understanding of such defects at SiO2/SiC interface and we give an insight into the influence of traps, produced during device processing or caused by radiation environment, on the output characteristics of the device.

R.P1.15
17:30
Authors : Sébastien CHENOT1, Luan NGUYEN1, Moyème KABE2, Yendoulé LARE2, Laurent OTTAVIANI3, Marcel Pasquinelli3, Damien BARAKEL3, Marc PORTAIL1
Affiliations : 1) CRHEA (CNRS-UPR10 - Université Cote d?Azur), 06560 VALBONNE, France 2) Laboratoire sur l?Energie Solaire, Université de Lomé, BP1515 LOME, Togo 3) IM2NP (UMR CNRS 7334 ? Université Aix Marseille), 13397 MARSEILLE Cedex 20, France

Resume : Silicon carbide could be a promising large energy band gap material able to compete and replace silicon in devices operating under harsh environments. Exhibiting exceptional properties in terms of temperature resistance, chemical stability, resistance to high radiation levels, as well as an energy band gap of 2.36eV, the cubic polytype of silicon carbide (3C-SiC) could be a replacement material for the fabrication of solar concentrators more sensitive in the UV spectral range. 3C-SiC/Si heterojunctions have already been studied in the domain of power diodes, as window layer or as emitter for photovoltaic cells in several configurations. Furthermore, the opportunity to make 3C-SiC/Si tandem cells has been studied theoretically. In this work, 3C-SiC/Si heterostructures have been grown and processed for realizing solar cells. Simulations of the emitter layer in 3C-SiC heterostructure were performed by means of SCAPS to model the optimal thickness and predict the influence on cells degradation. The epilayer growth has been done using Chemical Vapor Deposition technique and a classical cell design has been adopted to assess the opto electrical properties of the structures. Improvement of the photons absorption in the short wavelengths thanks to the thin layer of the emitter has been observed. Influences both of the material growth process as well as the technological cell fabrication steps on the opto electrical properties are discussed.

R.P1.16
17:30
Authors : G. Colibaba
Affiliations : Moldova State University, A. Mateevici 60, MD-2009, Chisinau, Moldova

Resume : Fabrication of nanoporous matrices is one of recent viable directions of application of semiconductor compounds. Nanoporous matrices can be used to obtain nanowires and nanotubes of various materials which are very promising structures for optoelectronics and photonics. Such porous materials can be manufactured on the homogeneously doped substrates with controllable highly conductive properties. One of the most suitable methods for synthesis of ZnO crystals with controllable electrical parameters is the chemical vapor transport (CVT) in sealed chambers. Present investigation is devoted to obtaining ZnO crystals using complex transport agents (TA). The thermodynamic analysis for composition of ZnO-HCl-H2/CO/C CVT systems is carried out for temperature range of 1000-1500 K and for various loaded TA pressures. The influence of the growth temperature and of the density/composition of TA on the growth rate is investigated theoretically and experimentally. The TA compositions favorable for the growth of non-polar, semi-polar and polar planes of a hexagonal structure are found. Stable unseeded growth of the high crystalline quality single crystals with an etch pit density of 10^3 cm^-2, controllable growth direction, controllable stoichiometric deviation and with a diameter of at least 2 cm is demonstrated. The variation of the main electrical parameters of crystals by changing the Cl doping level is shown.

R.P1.17
17:30
Authors : Barbara Lyson Sypien, Monika Kwoka
Affiliations : Silesian University of Technology, Faculty of Automatic Control, Electronics and Computer Science, Institute of Electronics

Resume : In this work Rheotaxial Growth and Vacuum Oxidation technique being the unique modification of Rheotaxial Growth and Thermal Oxidation is used for the purpose of obtaining SnO2 nanolayers with maximal extension of internal surfaces for gas sensor application. RGVO enables to prepare SnO2 structures composed of isolated nanograins which is especially interesting in terms of improving dynamic characteristics (sensitivity, response and recovery time) of novel gas sensors. Within this work the surface morphology is controlled by AFM and SEM. XPS enables to investigate chemical properties with the special emphasis on nonstoichiometry and undesired carbon contaminations. The study presents the results of optimizing SnO2 nanolayers RGVO fabrication by adjusting intentionally: the speed of embedding Sn nanodrops, the temperature of Si substrate and the Sn nanolayers oxidizing process for the purpose of obtaining SnO2 isolated nanograins with the grain size comparable to the Debye length. Thanks to RGVO technique the main drawback of RGTO, namely the high level of SnO2 nanograins agglomeration leading to poor dynamic gas sensors characteristic, can be avoided. The results of our work are well promising in terms of improving sensitivity, response and recovery of SnO2. This work was partially done within the Statutory Funding of Institute of Electronics, Silesian University of Technology, Gliwice and financed by the National Science Centre, Poland grant decision DEC-2016/20/S/ST5/00165.

R.P1.18
17:30
Authors : O.F. Kolomys1, B.I. Tzykanyuk1, P.M. Lytvyn1, V.V. Strelchuk1, V.P. Makhniy2, M.M. Berezovskiy2, V.Tkach3
Affiliations : 1. V. Lashkaryov Institute of Semiconductor Physics, National Academy of Sciences of Ukraine, 41 prospect Nauky, 03028 Kyiv, Ukraine. 2. Yu. Fedkovych Chernivtsi National University, 2, Kotsyubinskogo str., 58012 Chernivtsi, Ukraine. 3. V. Bakul Institute for Superhard Materials, National Academy of Science of Ukraine, 2 Avtozavodskaya str.,04074 Kyiv, Ukraine.

Resume : The ZnO:Co wide-bandgap diluted magnetic semiconductors (DMS) have received considerable attention as a promising material for electronic and spintronic devices. ZnO:Co films were growth using the isovalent substitution using substrate zinc chalcogenides doped with Co. This promising growth method of the ZnO:Co DMS structures allow to obtain the structurally perfect films with high Co concentration. The ZnO:Co films were investigated using SEM and EDS, photoluminescence, Raman and FTIR spectroscopy and magnetic-force microscopy (MFM) techniques. The EDS results confirmed the presence of Co impurities in the films. The observation of intensive absorption 4T2(4F), 4T1(4F) and 4T1(P) bands clearly reveals that the Co2+ ions substitutes Zn2+ cations in the hexagonal ZnO matrix. For ZnO:Co films the decreasing of the intensity, broadening and high-energy shift of the near-band-edge emission was observed. As a result of incorporation of ions Co in the ZnO lattice, the red emission band at 1.85 eV originates from 2E(2G) → 4A2 (4F) intra-3d-transition of Co2+ has been observed. The presence of the Raman band at ∼552 cm-1 associated with Co-VO complexes is evidence of Co incorporation in ZnO host lattice. Based on the obtained results the impact of intrinsic defects and cobalt ions as a possible reason for ferromagnetism in the Co-doped ZnO films is discussed.

R.P1.19
17:30
Authors : Maddaka Reddeppa1, Byung-Guon Park1, Jong-Beom Lim1, Thi Kim phugn Ngyun1, Song-Gang Kim2, and Moon-Deock Kim1,*
Affiliations : 1Department of Physics, Chungnam National University, 99 Daehak-ro, Yuseong-gu, Daejeon, 34134, Republic of Korea 2Department of Information and Communications, Joongbu University, 305 Donghen-ro, Goyang, Kyunggi-do, 10279, Republic of Korea.

Resume : NO is one the most menacing air pollutant, which can damage the human health and environment with even small quantities. The development of high response gas sensors has high demand, in sensor market. The InN and InGaN have strong electron accumulation, this is the advantage for gas sensors, and electron accumulation can act as absorption sites. In this work, InGaN feather shape nanorods (NRs) were grown by plasma-assisted molecular beam epitaxy for high sensitive NO gas sensors at room temperature. The feather shape NRs exhibited high surface density and contact area, which can increase sensitivity. The resistance of feather shape InGaN NRs was recorded upon exposure to various concentrations of NO gas, the gas sensor exhibited high sensitivity for NO detection at room temperature. The selectivity of feather shape NRs was signified by using two reducing gases (H2S, and H2), exhibit high response towards NO gas. In addition, humidity test was also conducted in this work. Our experimental results suggested feather shape InGaN NRs are one of the good choices for NO gas detection at room temperature.

R.P1.20
17:30
Authors : Emanuela Schilirò1, , Patrick Fiorenza1, Salvatore Di Franco1, Filippo Giannazzo1, Mario Saggio2, Fabrizio Roccaforte1, Raffaella Lo Nigro1
Affiliations : 1 CNR-IMM, Catania, Italy 2 STMicroelectronics, Catania, Italy

Resume : Silicon dioxide (SiO2) is the gate dielectric typically used for 4H-SiC MOSFETs. However, owing to the permittivity vales of SiO2 and 4H-SiC (3.9 and 9.7), the electric field in the SiO2 is 2.5 times higher than in the 4H-SiC drift layer. Hence, to fully exploit the electric field strength of 4H-SiC (3MV/cm), the field in the SiO2 gate need to approach its breakdown value, which in tun can seriously compromise the device reliability. A possible solution to this problem is the replacement of the SiO2 with a high permittivity (high-κ) dielectric, to reduce the electric field in the gate oxide during high-voltage operation. Among the high-κ materials, aluminum oxide (Al2O3) has been widely investigated, owing to its high permittivity (κ~8.4), relatively large band-gap (~ 9 eV) and high critical electric field (10 MV/cm). In this work, the Al2O3 films have been grown by Plasma Enhanced-Atomic Layer Deposition (PE-ALD) onto a thermally oxidized SiO2 interlayer on 4H-SiC substrates. The morphological and structural properties of the insulator have been evaluated by Atomic Force Microscopy (AFM) and Transmission Electron Microscopy (TEM), respectively. Smooth, adherent and amorphous Al2O3 films with an uniform thickness of 30 nm, were found. The electrical behaviour of the Al2O3/SiO2 system has been studied by capacitance-voltage (C-V) and current-voltage (I-V) measurements, on metal-insulatorsemiconductor capacitors. Films with a permittivity close to the ideal Al2O3 value (ε~8.4), low leakage current and high breakdown field (9.2 MV/cm), were found. However, significant electron trapping inside the Al2O3 (1×1012 cm-2) was observed at positive bias stress (>10V). To explain the origin of this phenomenon, C-V measurements at different temperatures were acquired. These measurements provide the activation energy related to the trapping process (0.1 eV) [1], which can be associated to points defects in the Al2O3 (oxygen vacancies, carbon contaminations). These results represent a step forward in the comprehension of the trapping phenomena and, consequently, pave the way to define a strategy to improve its electrical properties.

R.P1.21
17:30
Authors : N. Korsunska1, L. Borkovska1, Yu. Polischuk1, O. Kolomys1, P.Lytvyn1, I.Markevich1, V. Strelchuk1, V. Kladko1, O. Melnichuk2, L. Melnichuk2, L. Khomenkova1, X. Portier3
Affiliations : 1V.Lashkaryov ISP of NAS of Ukraine, 45 Pr. Nauky, 03650, Kyiv, Ukraine 2Mykola Gogol State University of Nizhyn, 2 Hrafska Str., Nizhyn 16600, Ukraine 3CIMAP/ENSICAEN/ UNICAEN/CEA/CNRS, 6 Blvd Marechal Juin, 14000 Caen, France

Resume : Zinc oxide is a nontoxic wide bandgap luminescent material that can be produced cost-effectively on a small or large scale. ZnO has been considered as a suitable host matrix for optically active doping elements such as rare earth (RE) ions. However, RE emission in ZnO still remains a challenge due to unsuitable energy level position of many of RE ions compared to the conduction and valence band edges of the ZnO. In addition, large differences in the ionic radius and charge between the RE ions and the Zn2+ ion cause difficulty in RE ion incorporation into ZnO matrix. Here we present the results of photoluminescent, electrical and structural studies of Tb doped ZnO films grown by RF magnetron sputtering on sapphire, quartz, and silicon substrates. The X-ray diffraction (XRD) patterns of the films reveal a texture following the (002) planes. The atomic force microscopy investigations show that the films compose of clusters of ZnO columns separated by large spaces. The spacer sizes depend on the type of substrate, being the largest for the film deposited on sapphire. The XRD data and Raman spectra demonstrate also the highest stress, the smallest coherent domain size and the largest defect density in this film. The film on sapphire substrate shows also the largest intensity of Tb3+-related photoluminescence, while the lowest one was observed for the film on Si substrate. In spite of that, the concentration of free electrons estimated from the IR reflection spectra does not correlate with Tb3+ concentration and is similar to that of undoped films. The absence of Tb-caused conductivity is ascribed to the generation of other charge-compensating lattice defects required for Tb3+ incorporation into ZnO lattice.

R.P1.22
17:30
Authors : E. G. Barbagiovanni1), A. Alberti1), C. Bongiorno1), E. Smecca 1), M. Zimbone1), R Anzalone3), G. Litrico2), M. Mauceri2), A. La Magna1), F. La Via1)
Affiliations : 1) IMM-CNR, VIII Strada, 5, 95121 Catania, Italy; 2) LPE, XVI Strada, 95121, Catania, Italy; 3) STMicroelectronics, Stradale Primosole, 50, 95121 Catania, Italy

Resume : Cubic silicon carbide (3C-SiC) is a polytype of SiC, which is currently very interesting for power applications. One drawback is due to the fact that the 3C-SiC lattice does not have a stability window as large as the other related hexagonal polytypes, which explains the need for substrates with a similar lattice structure to assist the growth of relatively thick layers. A viable approach for 3C-SiC bulk growth is to form a seed of 3C-SiC on a [001] silicon wafer to be sacrificed to defects generated at the interface. The seed, after substrate release, can be used as template for homo-epitaxial growth, thus skipping the huge constraint imposed by hetero epitaxial growth on a silicon wafer. We focus on the growth of 3C-SiC seed layers by chemical vapor deposition on 6-inch [001] Si wafers. Using the seed template, we additionally explore the structural quality of the 3C-SiC homo-epitaxial grown layers at a temperature of 1650°C, performed after silicon removal by chemical etching. High resolution X-ray diffraction (HRXRD) reciprocal space maps (RSMs) of the (002) 3C-SiC Bragg peak collected on a 50um-thick seed layer grown on a 6-inch Si wafer and from a 100um homo-epitaxial layer grown on the 50um seed. In the RSMs, an intense contribution arises along the [111] directions as an extra-contribution from the layer mosaicity. Mosaicity and the full width half maximum (FWHM) of the [111] line profiles are taken as parameters to compare the growth of homo layers on different seeds. The FWHM values (seed layer: 0.006 nm-1 vs homo-layer: 0.002 nm-1) provide a first measure of the defect reduction from the seed to the homo-layer. Simulation results in a deformation and twin staking fault (SF) density at ρd = 100 cm-1 and ρt= 1.5x103 cm-1, respectively. A study based on atomic resolution STEM analyses coupled with the modeling of the lattice structure focused on the comprehension of the annihilation and generation mechanisms of SFs to understand how to reduce their density. Generation and annihilation of SFs converge to a saturation value, which depends on the growth conditions and which needs to be lowered as much as possible. The structure and the mutual relationship between SFs growing, meeting and nullifying during growth are of extreme interest.

R.P1.23
17:30
Authors : I.N. Demchenko1, Y. Melikhov2,3, P. Konstantynov1, R. Ratajczak4, and E. Guziewicz1
Affiliations : 1 Institute of Physics, Polish Academy of Sciences, Aleja; Lotników 32/46, 02-668, Warsaw, Poland; 2 School of Engineering Cardiff University, Cardiff, CF24 3AA, United Kingdom; 3 Institute of Fundamental Technological Research, Polish Academy of Sciences, Pawińskiego 5b, 02-106 Warsaw, Poland; 4 National Centre for Nuclear Research, Soltana 7, 05-400 Otwock, Poland.

Resume : The resonant photoemission was used to investigate ytterbium electronic states and their hybridization with the valence band of ZnO. The measurements were performed at Elettra synchrotron (Italy). Ytterbium ions were incorporated into the ZnO matrix via ion implantation and subsequent annealing. Photoemission spectra were taken for the photon energy range 180-190 eV, i.e. across the Yb4d – Yb4f photoionization threshold, which allows observation of the Fano resonance from the Yb4f electrons. A resonant enhancement from the Yb4f states was found in the valence band region at binding energy of 7.5 eV below the Fermi level. A weak Fano resonance is consistent with a large 4f14-d occupancy. It was also found that ytterbium 4d level shows an extended multiple structure instead of a simple spin-orbit doublet characteristic of metallic ytterbium, which indicates that majority of ytterbium atoms are bonded to oxygen and that one of the 4f14 electrons has been promoted to the valence level. This multiple structure can be attributed to 4fn ↔ 4d9 interaction. The obtained results enhance understanding of RE ions fundamental properties and are of great importance for the development of optical devices as well as devices operating in the IR region.

R.P1.24
Start atSubject View AllNum.
 
Bulk GaN and h-BN : Fabrizio Roccaforte (CNR-IMM, Italy)
09:00
Authors : Hongxing Jiang, Jingyu Lin
Affiliations : Department of Electrical and Computer Engineering, Texas Tech University, Lubbock, TX 79409

Resume : As a member of the III-nitride wide bandgap semiconductor family, BN has received much less attention in comparison with other nitride semiconductors. The stable phase of BN synthesized at any temperature under ambient pressure is hexagonal. Due to its wide bandgap (> 6 eV) and layered structure, hexagonal BN (h-BN) is an ideal platform for probing fundamental 2D properties in wide bandgap semiconductors. In this talk, a brief overview of the synthesis of wafer-scale h-BN epilayers and optical properties will be presented [1-7]. It was shown that the unique 2D structure of h-BN induces exceptionally high density of states, large exciton binding energy and high optical absorption and emission intensity. By growing h-BN under high V/III ratios, epilayers exhibiting pure free exciton emission have been obtained [4]. Photocurrent excitation spectroscopy results directly provided a room temperature bandgap value for h-BN in between 6.4 and 6.5 eV and the free exciton binding energy (Ex) of 0.73 eV [5]. P-type conduction and diode behaviors in the p-n structures consisting of p-hBN/n-Al0.62Ga0.38N have been demonstrated [1-3]. The attainment of p-type h-BN could potentially overcome the intrinsic problem of low p-type conductivity in Al-rich AlGaN for deep UV photonic devices. Thermal neutron detectors fabricated from 100% B-10 enriched h-BN epilayers of 50 ?m in thickness have attained the highest detection efficiency to date among solid-state detectors at about 58% [6, 7]. These solid-state neutron detectors have become increasingly desirable for a wide range of applications from fissile materials sensing to well logging, because 3He gas neutron detectors are inherently bulky, require high pressurization and high voltage application, slow response time, and expensive. It is our belief that h-BN will lead to many potential applications from deep UV optoelectronics, radiation detectors, to novel layered-structured photonic and electronic devices. [1] R. Dahal, J. Li, S. Majety, B.N. Pantha, X. K. Cao, J. Y. Lin, and H.X. Jiang, Appl. Phys. Lett. 98, 211110 (2011). [2] S. Majety, J. Li, X. K. Cao, R. Dahal, B. N. Pantha, J. Y. Lin, and H. X. Jiang, Appl. Phys. Lett. 100, 061121 (2012). [3] H. X. Jiang, and J. Y. Lin, Semicon. Sci. Technol. 29, 084003 (2014). [4] X. Z. Du, J. Li, J. Y. Lin, and H. X. Jiang, Appl. Phys. Lett. 106, 021110 (2015); 108, 052106 (2016). [5] T. C. Doan, J. Li, J. Y. Lin, and H. X. Jiang, Appl. Phys. Lett. 109, 122101 (2016). [6] A. Maity, T. C. Doan, J. Li, J. Y. Lin, and H. X. Jiang, ?Realization of highly efficient hexagonal boron nitride neutron detectors,? Appl. Phys. Lett. 109, 072101 (2016). [7] A. Maity, S. J. Grenadier, J. Li, J. Y. Lin, and H. X. Jiang, Appl. Phys. Lett. 111, 033507 (2017) and J. Appl. Phys. 123, 044501 (2018).

R.4.1
09:30
Authors : Ma?ena Mackoit (1), Leigh Weston (2), Darshana Wickramaratne (2), Lukas Razinkovas (1), Marcus W. Doherty (3), Chris G. Van de Walle (2) and Audrius Alkauskas (1)
Affiliations : (1) Center for Physical Sciences and Technology (FTMC), Vilnius LT-10257, Lithuania, (2) Materials Department, University of California, Santa Barbara, California 93106-5050, USA, (3) Laser Physics Centre, Research School of Physics and Engineering, Australian National University, Canberra, Australia

Resume : Optical properties of boron vacancy-related defects in bulk hexagonal boron nitride (h-BN) are studied from first-principles theory using hybrid density functionals. The set of defects include the bare boron vacancy, as well as complexes with oxygen and hydrogen. We have considered all internal and free-to-bound transitions in these defects. Excitation energies of internal transitions have been obtained via the SCF method. By calculating configuration coordinate diagrams and by performing group theory analysis for all processes we have classified them into (i) predominantly non-radiative transitions, (ii) dipole-allowed optical transitions, and (iii) weak dipole-forbidden optical transitions. For dipole-allowed transitions we have calculated Huang-Rhys factors and luminescence lineshapes. We have found that internal transitions are mostly non-radiative, while dipole-allowed free-to-bound optical transitions result in large (>5) Huang-Rhys factors and thus rather broad luminescence lines. We have compared our calculation results with available experimental data. In particular, these results indicate that recently found single-photon emitters in h-BN are not related to boron vacancies and most likely do not involve defect states derived from nitrogen dangling bonds.

R.4.2
09:45
Authors : Eldad Bahat Treidel, Oliver Hilt and Joachim Würfl
Affiliations : Ferdinand-Braun-Institut, Leibniz-Institut fuer Hoechstfrequenztechnik (FBH) Gustav-Kirchhoff-Strasse 4 12489 Berlin - Germany

Resume : Switching of GaN-based vertical trench gate MISFET on ammonothermal n-type GaN substrate are studied as a function of temperature. Pulsed ON-state IV characterization revealed three unexpected independent effects. First, drain current increases with temperature, although electron mobility decreases with T. Second, drain current decreases with pulse length, which cannot be explained by heating. Third, dynamic RON increases with OFF-state drain bias, which usually is considered as an issue of lateral GaN HFETs only. The drain current temperature dependence is most likely dominated by the low electron mobility in the inversion layer beneath the gate. The field effect channel mobility is estimated as <10cm²/Vs and can thus be considered as trap limited and thermally activated, RON decreases from 133Ω∙mm at 20°C to 62Ω∙mm at 110°C. Longer ON-state pulses lead to the negative charging of the ALD-Al2O3 gate oxide and shift the threshold voltage (DC-Vth ~8V) positively. With the maximum applicable Vgs=10V, the drain current is not saturated yet and Ids thus drops by a factor 2 when increasing the pulse with from 0.2µs to 20µs due to the Vth-shift. The observed 10-times dynamic RON-increase with OFF state drain bias up to 30V can be related to both, several possible trapping mechanisms related to the gate trench or even by charging in the drift zone. Activation energies for different OFF state stress voltage are ranged linearly between 0.08eV and 0.26eV for drain bias stress of Vds = 0V and 30V respectively.

R.4.3
10:00
Authors : P. Kruszewski, M. Grabowski, P. Prystawko, T. Sochacki, J. Jasinski, L. Lukasiak, R. Kisiel and M. Leszczynski
Affiliations : Institute of High Pressure Physics, Polish Academy of Sciences, Sokolowska 29/37, 01-142 Warsaw, Poland; Top-GaN Ltd, Sokolowska 29/37, 01-142 Warsaw, Poland; Institute of Microelectronics and Optoelectronics, Warsaw University of Technology, Koszykowa 75, 00-662, Warsaw, Poland

Resume : Wide bandgap semiconductors have become one of the most investigated materials for applications in high power, high voltage and high frequency electronic devices operated in elevated temperatures. Gallium nitride (GaN) is one of the most promising candidate in this field thanks to high critical electric field, wide energy bandgap and high value of electron saturation velocity. However, most of the previously fabricated GaN-based electronic devices such as diodes or FET transistors suffered from lateral geometry of current flow in the active region what limited the maximum current in the device. The reason of that was a lack of native and highly conductive GaN substrates. Since few years, high quality and low dislocation density GaN substrates are commercially available and thus this limitation has been overcame [1,2] In this paper, we report on electrical parameters of n-GaN high voltage Schottky diodes with vertical current flow in the structure. The samples analyzed here were grown by Metal Organic Chemical Vapour Deposition (MOCVD) and Hydride Vapour Phase Epitaxy (HVPE) growth technique. For both samples, n-GaN drift region of 2-5x1016 cm-3 has been prepared on highly conductive n+ Ammono-GaN substrate (n~1x1019 cm-3). Drift region thickness differed from 2um to 150um for MOCVD and HVPE samples, respectively. The Schottky diodes were then fabricated using standard Ni/Au metallization and photolithography techniques. We present the results of Schottky barrier height (SBH), ideality factor (n), breakdown voltage (Vbr) and on-resistance (Ron) extracted from commonly used thermionic emission approach. Finally, we observed large difference in measured breakdown voltage which is almost three times larger for HVPE sample. [1] www.ammono.com [2] http://global-sei.com/sc/products_e/gan/ Acknowledgement This work was financially supported by the SchottGaN Project under the Applied Research Programme of the National Centre for Research and Development, contract no PBS3/B3/29/2015.

R.4.4
10:15
Authors : Wahid Khalfaoui(1), Arnaud Yvon(2), Frederic Cayrel (1), Eric Frayssinet(3), Yvon Cordier (3) Emmanuel Collard(2) and Daniel Alquier (1)
Affiliations : (1) GREMAN UMR 7347, Université de Tours, CNRS, INSA-CVL, 16 rue Pierre et Marie Curie, 37071 Tours, France (2) STMicroelectronics, 10 rue Thalès de Milet CS 97155, 37071 Tours Cedex 2, France (3) CRHEA-CNRS, rue Bernard Grégory, 06560, Valbonne, France

Resume : The electrical properties of GaN have made it one of the most promising materials for power devices such as Schottky diodes. Still, major challenges, remain such as the local GaN p-type doping, must be overcome to achieve reliable devices. Ion implantation has proven to be the most efficient technique to achieve such a local doping in most of the semiconductors, even if it is still a complicated process for GaN, due to induced defects and difficulties to anneal. In this work, we implanted Mg in a n-type GaN layer with different energies to achieve a “box-like” concentration profile. SIMS measurements showed a deeper distribution tail of Mg than expected, due to channeling effects and localized defects were evidenced by HR-TEM imaging, in the GaN layer. Then, the implanted samples were capped with an USG/AlN double cap-layer and annealed using two rapid thermal annealing processes (monocycle and multi-cycle). After removing the double cap-layer, PN diodes were processed on various annealed samples. The electrical characterizations of these diodes illustrated, for the first time, a rectifying behavior (forward) and low leakage currents (reverse) confirming the presence of a PN junction and thus, indicating the p-type activation of the Mg-implanted GaN layer.

R.4.5
 
III-N heteroepitaxy II : Anelia Kakanakova (Linkoping University, Sweden)
11:00
Authors : Yvon CORDIER
Affiliations : Université Côte d?Azur, CNRS, CRHEA

Resume : Integration of GaN on Silicon can have different meanings. While few people understand it as monolithic co-integration of GaN or other III-Nitrides with Silicon electron devices and famous CMOS transistors ahead, the great majority deals with III-Nitrides on a substrate that is seen as a material platform which could be tolerated by a lot of Silicon foundry tools. It comes out from the former that a combination of both kinds of material semiconductors on a same chip may be an advantage for sophisticated circuits as already demonstrated for high frequency applications and it may be worth to be examined for smart power switching systems or for more integrated sensors for instance. On the other hand, growth on Silicon is considered as a way to produce at lower cost on large wafers. But both have to face common complexity in the crystal growth of materials having nothing in common. Nevertheless, in spite of such difficulties, a lot of issues have been at least partially solved and processes are already under development for production in foundries for RF and power switching applications with HEMTs, and lighting or displays with LEDs based on films or wires. More, the processing of Silicon substrate offers other possibilities, whether to facilitate the management of strain and simplify growth schemes, to enhance GaN device performance as demonstrated for kV range power switching transistors, or to fabricate new objects like MEMS presenting the advantage of possible monolithic co-integration with GaN or Silicon electronics. To finish, AlN on Silicon films not only constitute robust templates for the growth of III-Nitride structures but also for other materials like graphene in view of developing new electron devices or sensors.

R.5.1
11:30
Authors : Roy Dagher1, Blandine Alloing2, Virginie Brändli2, Maud Nemoz2, Jesus Zuniga Perez2 and Guy Feuillet1
Affiliations : 1 . Université Grenoble Alpes, CEA-LETI, 17 Rue Des Martyrs, 38054 Grenoble Cedex 9, France 2 . Université Côte d’Azur, CRHEA-CNRS, Rue Bernard Gregory, 06560 Valbonne, France

Resume : Gallium nitride (GaN) has been attracting a lot of interest for the last few decades due to its successful integration in optoelectronic devices, such as light emitting diodes (LEDs), and in power electronics. However, the large lattice and thermal coefficients of expansion mismatch between the GaN epilayer and the heteroepitaxial substrate is still a major setback for GaN devices, since it affects directly the efficiency of such devices. Several techniques were developed to improve the crystalline quality of the material and reduce the threading dislocation density (TDD) based on different substrate patterning methods, such as epitaxial lateral overgrowth or pendeo-epitaxy. However, the inherent limitation of these methods rises from the fact that the crystallites originating from the different nucleation sites coalesce at some point during growth, and because of the relative misorientation between the crystallites, coalescence leads to the formation of dislocations to compensate for the misorientations. To deal with this issue, we propose a novel method based on pendeo-epitaxy of GaN on nanopatterned SOI substrates. First, nanopillars are etched down to the buried oxide with classical e-beam lithography. Second, a pyramidal growth of GaN is achieved on top of the nanopillars, leading to coalescence between adjacent GaN pyramids at a certain point. The advantage of this method lies in the deformation of the underlying nanopillars, when coalescence occurs, which will compensate for the misorientation between the grown pyramids. This entails the crystallographic alignment of the pyramids without forming coalescence defects at their boundaries. The SOI presents a top Si layer about 50 nm-thick, oriented in the 111 direction. The SiO2 thickness is about 150 nm. We have tested different sizes of nanopillars with different periodicity, ranging between 100 and 500 nm in pillar diameter and 500 to 2000 nm as pitch. Growth is carried out in two phases: pyramidal growth on the nanopillars followed by 2-dimensionnal growth for coalescence. Structural investigations (TEM and X-ray diffraction) together with optical characterizations by cathodoluminescence carried out at different stages of the growth - i.e. before and after coalescence - reveal promising results in terms of defect reduction within the coalesced layers. GaN layers were also obtained on arrays of nanopillars, with easy delamination, showing the potential of exfoliating the GaN layer.

R.5.2
11:45
Authors : M. Mrad, M. Charles, V. Yon, J. Kanyandekwe, Y. Mazel, E. Nolot, P. Ferret, A. Dussaigne, G. Feuillet
Affiliations : Univ. Grenoble Alpes, CEA, LETI, 38000 Grenoble, France

Resume : InAlN layers grown by MOVPE using showerhead reactors have been shown in the literature to be contaminated with Ga, likely from GaN layers grown beforehand. In this study we examine the effect of different GaN thicknesses grown before the growth of InAlN and InGaN layers. The tool used for the growth is equipped with chlorine cleaning, allowing a fully clean chamber. Several GaN templates were grown on Si(111) wafers. The chamber was then cleaned, and the growth restarted on the templates with an extra GaN layer of thickness varying from 0 to 200 nm, followed by the ternary layers. We studied the effect of increased GaN thickness on Ga contamination and properties of the InGaN and InAlN layers. The layers were characterized by Atomic Force Microscopy, Wavelength Dispersive X-Ray Fluorescence, X-Ray diffraction, X-Ray Reflectivity, and Plasma Profiling Time of Flight Mass Spectrometry. An increase in the InGaN and InAlN layer thicknesses was observed with increased thickness of the buried GaN layer, accompanied by a reduction of the In composition in the InAlN layers. A quantitative model is proposed here, suggesting that the TMIn precursor reacts with Ga on the showerhead surface to release TMGa or MMGa, which is then incorporated into the ternary layers. In InGaN layers however, the composition did not change despite the change in thickness. This effect will be examined through different models that will be discussed in the presentation.

R.5.3
12:00
Authors : P. Mendes, E. Alves, K. Lorenz, S. Magalhães
Affiliations : Instituto Superior Técnico, Campus Tecnológico e Nuclear, 2696-953 Sacavém, Portugal (* corresponding author: smagalhaes@ctn.tecnico.ulisboa.pt)

Resume : Gallium nitride (GaN) is one of the most important semiconductors materials for the new generation of optoelectronic devices. To study its reaction to ion implantation (doping) films grown on sapphire substrates with a-plane (non-polar) and c-plane (polar) orientations [1] were implanted with 300 keV Argon (Ar) ions at room temperature (RT). The implanted fluences ranged from 5 × 1012 atoms/cm2 to 8 × 1015 atoms/cm2. The subsequent structural analysis was performed using Rutherford Backscattering/Channeling (RBS/C) and high-resolution X-Ray Diffraction (XRD). The results support not only the existing hypothesis that perpendicular strain caused by ion implantation may be the driving mechanism behind defect transformation processes inside the lattice but the believed higher radiation damage resistance for a-GaN in comparison to c-GaN will also be discussed. Although accepted in the scientific community that polarization effects can be avoided in non-polar nitrides in LEDs and lasers, strain fields created by ion implantation play an important role on the crystal quality and cannot be neglected.

R.5.4
12:15
Authors : Grigelionis I.1; Jak?tas V.1; Janonis V.1; Jorudas J.1; Ka?alynas I.1; Kruszewski K.2; Prystawko P.2; and Leszczy?ski M.2
Affiliations : 1 Center for Physical Sciences and Technology, Saul?tekio al. 3, LT-10222 Vilnius, Lithuania; 2 Institute of High Pressure Physics, Polish Academy of Sciences, Soko?owska 29/37, 01-142 Warsaw, Poland

Resume : Depending on the semiconductor material and origin of impurity atoms, the optical transitions demonstrate a rich fingerprints spectrum falling in the frequency range of 2.5-12.5 THz. On the other hand, solid state electrically pumped terahertz (THz) sources are of great demand due to several practical applications including spectroscopic THz imaging, non-harmful screening, art conservation etc. In this work THz emission spectra from shallow impurities in AlGaN/GaN heterostructures were studied in the temperature range 20-300 K under electric field of amplitude and pulse duration up to 300 V/cm and 1.6 ms, respectively. The samples were fabricated of AlGaN/GaN high electron mobility transitor structures (HEMTS) grown either on a sapphire or a SiC substrate by the metal organic vapor phase epitaxy (MOVPE) technique. The emission spectra were measured with a Fourier Transform Far-Infrared spectrometer using a conventional lock-in amplifier technique. It was found that the temperature increase of the conductive channel suppressed the electroluminescence signal, and a proper cooling of the sample should be provided in advance. Resonant 1s-2p electronic transitions in energy levels of shallow oxygen, silicon, and carbon impurities were observed in THz electroluminescence spectra at temperatures below 110 K. A direct comparison of the electroluminescence from the residual impurities correlated well with the growth conditions of the AlGaN/GaN heterostructures. In such a way a method was proposed to monitor the ratio between ionized shallow impurities in a standard AlGaN/GaN HEMTS at moderate cryogenic temperatures.

R.5.5
 
SiC material & processing II : Dominique Planson (University of Lyon, France)
14:00
Authors : B. Kallinger, J. Erlekampf, M. Rommel, P. Berwian, J. Friedrich, C. D. Matthus
Affiliations : B. Kallinger, J. Erlekampf, M. Rommel, P. Berwian, J. Friedrich: Fraunhofer IISB, Schottkystrasse 10, 91058 Erlangen, Germany; C. D. Matthus: University of Erlangen-Nuremberg, Chair of Electron Devices, Cauerstrasse 6, 91058 Erlangen, Germany

Resume : Silicon Carbide, especially the polytype 4H-SiC, is an ideal semiconductor material for power electronic devices and visible-blind UV photodiodes due to its intrinsic material properties such as, e.g., wide band-gap, low intrinsic carrier concentration, and high breakdown field. Although defect densities in 4H-SiC substrates and homoepitaxial layers have been reduced to fair levels in the last years, there is still room for further improvement: We will present the current status of structural defects in epilayers like stacking faults, dislocations and point defects, and compare these defect densities to those of other important semiconductor materials. These kinds of material defects can reduce lifetime and diffusion lengths of electrical carriers and hence, the device performance. We will focus on the correlation between point defects and minority carrier lifetime by Shockley-Read-Hall-recombination at deep levels and present different ways for lifetime engineering by epitaxial growth and post-epi processing in SiC technology. Conclusively, we will show the impact of device processing on the spectral responsivity of SiC photodiodes by lifetime-optimized device processing.

R.6.1
14:30
Authors : Michele Cascio, Ioannis Deretzis, Giuseppe Falci, Antonino La Magna
Affiliations : Dipartimento di Fisica e Astronomia dell' Univbersità degli studi di Catania; IMM-CNR Catania

Resume : Controlling generation and annihilation of point (e.g. vacancies) and extended (e.g. stacking faults), defects during the growth process of group IV materials (SiC, Si, diamond, graphene) is a key issue for the application of these systems in future device technologies. Nowadays the material synthesis processes are commonly optimized by means of expensive design of experiments trials also due to a lack suitable computational supports. We have developed Kinetic Monte Carlo models on super and parallel lattices aiming to assist the experimental studies on group IV material growth with complementary theoretical analyses. Our model are characterize by atomic level accuracy (i.e. the evolving particle are the atoms, e.g. Si and C, involved in the kinetic) and by the specific character of sp2 and sp3 bonds in the cubic and the hexagonal symmetries. The model can be also coupled to the continuum simulation of the gas phase status generated in the equipments to estimate the deposition rate and simulate a variety of growth techniques (e.g. Chemical and Physical Vapour deposition, sublimation). Evolution is characterized by nucleation and growth of ideal or defective structures and their balance depends critically on the process related parameters. Quantitative predictions of the process evolution can be obtained and readily compared with structural characterization of processed samples. In particular we can describe the surface state of the crystals and defects' generation/evolution (for both point and extended defects as stacking faults) as a function of the initial substrate conditions and the process's parameters (e.g. temperature, pressure, gas flows). We discuss simulation aided process development considering similarities and differences between different synthesis procedures.

R.6.2
14:45
Authors : M. Spera 1,2,3, D. Corso 1, S. Di Franco 1, G. Greco 1, A. Severino 4, P. Fiorenza 1, F. Giannazzo 1, F. Roccaforte 1
Affiliations : 1. CNR-IMM, Catania, Italy; 2. University of Catania, Italy; 3. University of Palermo, Italy; 4. STMicroelectronics, Catania, Italy

Resume : Silicon carbide (4H-SiC) is an excellent wide band gap material for power electronics devices. In 4H-SiC devices technology, ion implantation is the method of choice for n-type and p-type selective doping. However, to electrically activate a significant fraction of the implanted dopant species, post-implantation annealings at high temperature (T > 1500°C) are required. This work reports on the effect of high temperature annealing on the electrical properties of n-type and p-type implanted 4H-SiC. Ion implantations of Phosphorous (P) and Aluminium (Al) at different energies (30 ? 200 keV) were carried out to achieve 200nm thick n-type and p-type box profiles with a concentration of 1020 at/cm3. The implanted samples were annealed at high temperatures (1675 - 1825 °C). The electrical measurements carried out either using Transmission Line Model (TLM) or Van der Paw (VdP) structures indicated a decrease of the resistivity of both n-type (from 4.3 mOhm.cm to 3.3 mOhm.cm) and p-type (from 0.36 to 0.22 Ohm.cm) layers with increasing the annealing temperature. A slight increase of the surface roughens was detected by AFM after annealing at 1825°C, especially in the p-type implanted samples. Scanning microscopy analysis (SCM) allowed to quantify the activation of the n-type implanted Phosphorous. Room temperature Hall measurements on p-type implanted layers resulted in a hole concentration in the order of 1.4-2.6x10^18/cm3 and hole mobility values in the order of 10^-13cm2V-1s-1. Temperature dependent electrical measurements allowed to estimate an activation energy of the Al-implanted specie of about 150meV.

R.6.3
15:00
Authors : A.B.Renz, V.A.Shah, Y.Bonyadi, F.Li, G.W.C.Baker, P.A. Mawby, P.M.Gammon
Affiliations : School of Engineering, University of Warwick, Coventry, CV4 7AL, UK

Resume : Recent investigations showed that Mo/SiC Schottky Barrier Diodes (SBD) could be produced demonstrating both low leakage currents and a low forward voltage drop, the SiC having had phosphosilicate glass (PSG) layer deposited then removed, prior to metallisation. This resulted in leakage current densities which where two to three orders of magnitude lower than untreated Mo/SiC SBDs, emphasising the potential of pre-treatments to overcome the major weakness of using low Schottky-Barrier Height (SBH) metals. In this paper, we will investigate the electrical and physical origin of the improvement by seeking to prove the mechanism by which leakage is reduced. Fabricated Mo/SiC Schottky and MOSCAP samples will undergo one of the following passivation routines: 1. Thermal oxidation in oxygen ambient. 2. Thermal oxidation in Nitrous oxide ambient. 3. PSG passivation using a Phosphorous source wafer. Subsequent characterisation of the fabricated diodes and MOSCAPs will be presented, using DLTS, and CV measurements. We will report the density of interface traps (DIT). XPS will be performed to investigate the physical and chemical properties of the interface and high-resolution transmission electron spectroscopy images of the samples will be shown demonstrating the uniformity improvement of the atomic transition between the Mo/SiC surface. Finally, we will discuss the possible mechanisms for leakage current reduction in the passivated Schottky diodes using all these results, and comment on the role of phosphorous in the process.

R.6.4
15:15
Authors : G.W.C. Baker a, C.W. Chan, T. Dai, A. B. Renz, V.A. Shah, P.A. Mawby and P.M. Gammon
Affiliations : School of Engineering, University of Warwick, Coventry, CV4 7AL, UK

Resume : The results of a study into a SiC Schottky rectifier structure based on the superjunction (SJ) principle are presented, which is designed to improve the trade-off between breakdown voltage and specific on-resistance, compared with traditional SiC power devices. This work aims to investigate the SJ principle in medium voltage (650 V) Schottky diodes as a precursor to developing the fabrication techniques for higher voltage (≥3.3 kV) MOSFETs. The work will demonstrate the first study of simulated full SJ Schottky (SJS) devices at 650 V, as a precursor for fabrication. The proposed full SiC SJS device will be fabricated via trench etching and sidewall implantation. It is the purpose of this study to investigate, initially via simulation, the sensitivity and controllability of the fabrication processes for SiC full trench SJS devices. A feasibility study must first be performed to optimise mesa geometry, implantation angle, doping and depth of the p-pillar and the effects of partial and full SJ structures. In order to attain the optimal breakdown, exact doping must be achieved. The SJ device is sensitive to exact dose balancing, and the tight processing tolerance is a challenge for fabrication. In the final submission, the results of on-going computation trials, which investigate the effects of fabrication control and sensitivity on SiC SJS rectifier devices, will be presented. The simulation data will be presented at the conference and the results of the initial fabrication trials.

R.6.5
 
2D Materials : Philippe Godignon (CNM, Spain):
16:00
Authors : F. Giannazzo (1,*), G. Greco (1), E. Schilirò (1), R. Lo Nigro (1), I. Deretzis (1), A. La Magna (1), F. Roccaforte (1), F. Iucolano (2), S. Ravesi (2), P. Prystawko (3), P. Kruszewski (3), M. Leszczyński (3), E. Frayssinet (4), A. Michon (4), Y. Cordier (4)
Affiliations : (1) CNR-IMM, Strada VIII, 5, Zona Industriale, 95121 Catania, Italy (2) STMicroelectronics, Stradale Primosole 50, 95121 Catania, Italy (3) TopGaN, Prymasa TysiÄclecia 98 01-424 Warsaw, Poland (4) CNRS-CRHEA, Rue Bernard Gregory, 06560 Valbonne, France

Resume : Recently, Gr junction with Al(Ga)N/GaN heterostructures has been proposed as a key building block for the Gr-base Hot Electron Transistor (GBHET), a unipolar vertical device for ultra-high frequency (THz) applications, based on ballistic transport of electrons across atomically thin Gr [1,2]. In this work, we investigate the morphological, structural and electrical properties of Gr/AlGaN/GaN heterojunctions fabricated by optimized transfer of CVD Gr to the AlGaN surface. The lateral uniformity of Gr conductivity and of the vertical current injection across Gr/AlGaN interface were evaluated at nanoscale by conductive AFM, elucidating the role of nanoscale corrugations (wrinkles) ubiquitously present in the Gr transferred membrane. Differently than for Gr on common insulating substrates, Gr residing onto AlGaN exhibits high n-type doping (1.1×10^13 cm^-2), as revealed by electrical characterization of top-gated field effect transistors. The origin of this doping was explained by ab-initio DFT calculations of the Gr/AlN model system. The fabricated Gr/AlGaN/GaN diodes showed excellent rectifying behaviour, with a Schottky barrier height of 0.6 eV, evaluated from temperature dependent current-voltage measurements. Finally, a GBHET was obtained by the integration of a base-collector barrier, consisting of 10 nm Al2O3 grown on Gr by a two-steps atomic layer deposition [3]. This transistor showed very good modulation of the collector current by the emitter-base bias, with ION/IOFF ratio >10^6 and high ON-state collector current density (100 mA/cm^2). All these results represent important advances towards the assessment of a Gr/Nitrides hybrid technology for next generation high frequency electronics. [1] F. Giannazzo, et al., Phys. Status Solidi A 214, 1600460 (2017). [2] A. Zubair, et al., Nano Lett. 17, 3089 (2017). [3] G. Fisichella, et al., ACS Appl. Mat. & Interf. 9, 7761 (2017).

R.7.1
16:15
Authors : P. Prystawko1,2, M. Krysko2, F. Giannazzo3, E. Schilirò3, G. Greco3, F. Roccaforte3 and M. Leszczynski1,2
Affiliations : 1TopGaN, Sokolowska 29/37, 01-142 Warsaw, Poland 2IHPP-PAS”Unipress”, Sokolowska 29/37, 01-142 Warsaw, Poland 3CNR-IMM, Strada VIII, 5, Zona Industriale, 95121 Catania, Italy

Resume : The hot electron transistor (HET) is a unipolar majority carrier vertical device with great potential for high frequency (THz) applications. Various structures have been explored so far to implement this device concept. All-epitaxial devices with a GaN/Al(Ga)N emitter, a GaN or GaN/InGaN base, and (Al)GaN collector have been recently investigated [1]. The 2DEG reservoir at GaN/Al(Ga)N interface and the high crystalline quality of the Al(Ga)N barrier allows very efficient electron injection from the emitter, but the low conductivity of the thin Nitride base ultimately limits the device performances. Recently, graphene (Gr) has been considered as an ideal base material for HETs, due to its atomic thickness (enabling ballistic transport in the vertical direction) combined to its excellent conductivity (allowing a reduced base resistance). Hence, the integration of Gr with GaN/Al(Ga)N emitters is currently under investigation as an optimal solution to achieve highly efficient HETs [2,3]. In this work, we have fabricated the emitter using very low dislocation density (<1e4cm-2) conductive n-type Ammono bulk GaN substrate using the MOCVD technique. The structure starts with thin n-type GaN layer doped below Mott transition, followed by 4.7 nm thick high Al containing (66%) undoped AlGaN covered with a thin undoped GaN cap. High resolution XRD confirmed the fully strained structure of AlGaN , while AFM scans showed a surface with monolayer atomic steps on large area and low surface roughness (<0.2 nm on 3×3µm images). Step profile height variation is typically below 10 nm over 1 mm long line scans. The surface smoothness is better than in recently demonstrated MBE-grown AlN/GaN emitter structures [3], mostly due to optimized growth conditions at much higher MOCVD temperatures. The AlGaN layer composition of 66% Al allows for better control of thickness in respect to binary AlN emitters. Finally, we have fabricated a fully vertical emitter-base diode by transferring CVD Gr on our AlGaN/GaN sample. Current-voltage measurements performed at different temperatures showed a limited temperature dependence of current transport, indicating Fowler-Nordheim tunneling as the main electron injection mechanism through the barrier. [1] Z. Yang, et al., Appl. Phys. Lett. 106, 032101 (2015). [2] F. Giannazzo, et al., Phys. Status Solidi A 214, 1600460 (2017). [3] A. Zubair, et al., Nano Lett. 17, 3089 (2017).

R.7.2
16:30
Authors : I.Deretzis 1, A. Sciuto 2, G.G.N. Angilella 2, F. Giannazzo 1, A. La Magna 1
Affiliations : 1 CNR-IMM, Catania, Italy; 2 Department of Physics and Astronomy and INFN, University of Catania, Italy

Resume : The direct growth of graphene on semi-insulating substrates has been a widespread objective since the initial stages of controlled graphene synthesis, especially for applications in micro- and nano-electronics. The key advantage of using non-conducting substrates for graphene growth lies in the absence of a transferring step through post-synthesis chemical/mechanical processes, which allows for the preservation of the quality of the material and reduces the overall cost of production. The first wide bandgap material used for this type of graphene synthesis has been silicon carbide, due to the well-known graphitization of its surfaces upon temperature annealing. A natural evolution of this concept is the growth of graphene on other wide bandgap materials that are particularly interesting for microelectronics. Recently, group III nitride semiconductors (AlN, GaN, AlGaN) have been successfully implemented in the fields of high-mobility digital and power electronics. The growth of graphene on these substrates could further enhance or even expand their features, allowing for a high frequency modulation of the electrical current in vertical heterostructure design concepts. However, although the first experimental steps have been made towards such direction, theoretical studies that explore the structural and electronic properties of these systems are largely missing. Here we use the Density Functional Theory (DFT) to study the properties of single-layer graphene on AlN (0001) and (000-1) surfaces, considering both ideal and reconstructed surface terminations. We particularly focus on the aspects of the integrity of the Dirac-cone as well as on carrier doping, which can have important implications when using graphene for microelectronic applications.

R.7.3
16:45
Authors : A. Michon (1), A. Courville (1), R. Dagher (1), L. Nguyen (1), M. Portail (1), M. Zielinski (2), M. Nemoz (1), P. Vennéguès (1), J. Brault (1), and Y. Cordier (1)
Affiliations : (1) CRHEA - CNRS/UCA, Valbonne, France; (2) NOVASiC, Le Bourget du Lac, France

Resume : High temperature annealing of nitride films has been recently explored as a way to improve the crystalline quality, but also as a preliminary step before graphene growth on nitrides. This contribution will focus on both aspects. We first compare AlN films annealed in “classical” uncovered configuration to AlN films face to face annealed. Surprisingly, this last configuration does not always give the best results: for instance, in the case of AlN films grown by MBE on SiC, face to face annealing lead to a surface roughening. In contrast, classical annealing can smooth the surface of AlN/SiC, while slightly improving crystalline quality. In the case of AlN films grown by MOCVD on sapphire, high temperature face to face annealing appear more interesting since it allows to improve drastically the crystalline quality, while keeping unchanged the surface morphology under pure N2 atmosphere, or smoothing it under H2/N2 atmosphere. By combining N2 and H2/N2 face to face annealing up to 1650°C, we have obtained very smooth AlN surfaces (RMS = 0.4 nm over 25 µm2) presenting regular steps with FWHM on XRD rocking curve (omega scan) of 0.021° and 0.13° on 002 and 101 reflections. Finally, graphene growth by CVD requires a classical configuration to expose AlN surface to the carbon flow. Fortunately, the carbon flow allows to reduce AlN etching, as previously reported in the case of AlN/Si annealing, allowing to grow graphene on AlN templates at relatively high temperature.

R.7.4
17:00
Authors : A. Kakanakova, D. G. Sangiovanni, G. K. Gueorguiev, P.O.Å. Persson, R. Yakimova, I. Cora, B. Pécz, F. Giannazzo
Affiliations : IFM, Linköping University, Linköping, Sweden; MTA EK MFA, Budapest, Hungary; CNR-IMM, Catania, Italy

Resume : Thin layers of the group III nitrides (AlN, GaN, and InN) with the characteristic tetrahedral sp3 coordination of their constituent atoms have been intensively developed via metal organic chemical vapor deposition (MOCVD) for implementation in widely spread bright and energy-saving white light-emitting diodes (Nobel Prize in Physics 2014). Group III nitrides can also adopt layered structures in which the atoms in each layer exhibit planar trigonal sp2 coordination. Here, we present our most recent results on the development of 2D group III nitrides by MOCVD, which is the primarily employed deposition method for epitaxial growth of materials and device heterostructures in established and emerging technologies. We integrate the development of the deposition routes with density-functional ab-initio molecular dynamics simulations of precursor decomposition and surface diffusion on graphene (considering AlN as a model system), structural investigations with advanced aberration corrected transmission electron microscopy (the case study of 2D intercalated structure of In at the graphene/SiC interface will be presented), and nanoscale resolution morphological and electrical measurements based on atomic force microscopy (the case study of 2D intercalated structure of GaN will be presented). Insights into the growth mechanism will be discussed. Support for this work through FLAG-ERA JTC 2015 project GRIFONE is acknowledged.

R.7.5
17:15
Authors : Béla Pécz*, Ildikó Cora*, Anelia Kakanakova**, Rositza Yakimova**, Per Persson**, Patrick Fiorenza***, Giuseppe Nicotra*** and Filippo Giannazzo***
Affiliations : * Institute for Technical Physics and Materials Sci., Research Centre for Natural Sciences, Hungarian Academy of Sciences, 1121 Budapest, Konkoly-Thege u. 29-33 ** Department of Physics, Chemistry and Biology, Linköping University, S-581 83 Linköping, Sweden *** Consiglio Nazionale delle Ricerche, Istituto per la Microelettronica e Microsistemi, Strada VIII, n. 5, Zona Industriale, 95121, Catania, Italy

Resume : Although the “library” of 2D materials is very large listing more than one thousand different 2D materials there is few information on 2D nitride semiconducting materials. However, there are model simulations, which predict the existence of them and determine the properties. There is the case of GaN successfully prepared as 2D material and published a lot of physical data, including the bandgap, which is much higher than the bandgap of the GaN in the form of a thick layer. All this encouraged our team to carry out MOCVD growth of different nitrides on graphene/SiC templates by intercalation. The very thin, embedded layers gave challenging tasks as well for the characterization both for AFM and for transmission electron microscopy. The highest quality equipment (aberration corrected electron microscopy) was used to show the 2D layers at atomic resolution by application of atomic mass contrast. Our results are confirmed by analytical techniques like EDS as well. We report on cases, when two layers of In2O3 semiconductor was formed instead of the expected InN. Current injection across the graphene/In2O3/SiC heterostructure was investigated by nanoscale resolution conductive atomic force microscopy. Also the successfully grown GaN layers will be shown and discussed.

R.7.6
 
Poster Session II : Organizers
17:30
Authors : F. Roccaforte 1, F. Giannazzo 1, P. Fiorenza 1, S. Di Franco 1, A. Alberti 1, S. Spera 1,2,3, M. Cannas 3, F. Iucolano 4, I. Cora 5, B. Pecz 5, G. Greco 1
Affiliations : 1. CNR-IMM, Catania, Italy; 2. University of Catania, Italy; 3. University of Palermo, Italy; 4. STMicroelectronics, Catania, Italy; 5. Hungarian Academy of Sciences, Budapest, Hungary

Resume : Gallium nitride (GaN) is promising candidate for high-power and high-frequency devices. For many years the lack of large area free standing (bulk) GaN materials has limited the technology almost completely to lateral devices. Recently, the progresses in bulk GaN materials have increased the interest towards power electronics applications based on vertical GaN. In fact, the vertical topology enables to obtain higher current density and reduced device size, and avoid the surface-effects (e.g., current collapse) that typically limit the efficiency of lateral devices. In this context, metal/GaN rectifying contacts are the fundamental bricks of vertical Schottky diodes. In this work, the electrical behaviour of Ni Schottky contacts on commercial bulk GaN material was studied using both macroscopic and microscopic analyses. Vertical Schottky diodes have been fabricated on a n-type GaN epitaxial layer grown onto heavily doped GaN substrates. Several characterization techniques (XRD, TEM, PL) have been used to evaluate the material quality, revealing a dislocation density in the order of 4 5107 cm 2. The Ni contacts exhibited an epitaxial orientation with respect to GaN, with some mosaicity. The forward current-voltage (I-V) characteristics of the diodes showed a temperature dependence of both the ideality factor and of the Schottky barrier height. The correlation between ideality factor and Schottky barrier height indicated the formation of an inhomogeneous barrier. Nanoscale local electrical analysis performed by conductive atomic force microscopy (C-AFM) allowed to visualize the presence of different conductivity regions, which have been correlated with the surface morphology to explain the barrier inhomogeneity.

R.P2.1
17:30
Authors : M. Spera 1,2,3,*, G. Greco 1, C. Miccoli 4, R. Lo Nigro1, C. Bongiorno1, D. Corso 1, S. Di Franco 1, F. Iucolano 4 , F. Roccaforte 1
Affiliations : 1 CNR-IMM, Catania, Italy 2 University of Catania, Italy 3 University of Palermo, Italy 4 STMicroelectronics, Catania, Italy

Resume : AlGaN/GaN heterostructures are used for the fabrication of high-power and high-frequency transistors (HEMTs). In these devices, the formation of Ohmic contacts requires thermal annealing processes, which can lead to electrical and structural modification of the layer under the contact. The common method to determine the specific contact resistance in Ohmic contacts, i.e., the Transmission Line Model (TLM), typically assumes that the sheet resistance of the semiconductor under the contact (RSK) is unchanged with respect to its value outside the contact (RSH). In this work, we employed an additional measurement of ?End contact resistance? to determine the real value of the sheet resistance under the contact. Ti/Al/Ni/Au Ohmic contacts formed at 800°C were studied to apply the methodology. TLM analyses allowed to determine a specific contact resistance rho-C = 2.4 x 10-5 Ohmcm2 and a sheet resistance RSH = 535.5 Ohm/sq. Interestingly, a much lower value of the sheet resistance under the contact was found (RSK = 26.1 Ohm/sq). The morphological and structural properties of the annealed Ti/Al/Ni/Au contact and of the interface with the AlGaN substrate were monitored by AFM, XRD and TEM analyses. These analyses allowed to ascribe the lower RSK to the interface reactions occurred upon thermal annealing. Plausibly, the lower sheet resistance under the contact RSK, can be associated to a high concentration of N-vacancies (i.e., acting as donors under the contact) induced by the TiN formation at the interface during the annealing process. A comparison of different metallization schemes allowed to find a correlation between the sheet resistance under the contact (RSK) and the specific contact resistance (rho-C).

R.P2.2
17:30
Authors : B. Pécz*, L. Tóth*, I. Cora*, M. Tapajna**, K. Fröhlich**, J. Kuzmik**
Affiliations : *Institute of Technical Physics and Materials Science, Centre for Energy Research of the Hungarian Academy of Sciences, P.O.Box 49, H-1525 Budapest, Hungary **Institute of Electrical Engineering of the Slovak Academy of Sciences, Dubravska cesta 9. SK-84104 Bratislava, Slovakia

Resume : A new MOS-HEMT concept is proposed with the target to develop a normally-off switching transistor by effectively controlling the interface charge density. The final goal is to decrease the conversion losses in high power switching devices which make a significant part of the total electric energy consumption. Due to its many favourable properties these devices are manufactured more and more from GaN and related semiconductors. In this paper some structural results of this research will be presented using transmission electron microscopic methods. To form a suitable gate dielectric film, thin Al2O3 layers were grown by atomic layer deposition (ALD) technique onto standard AlGaN/GaN MOS heterostructures manufactured on sapphire substrates. After a cross sectional Ar-ion milling preparation these samples were investigated with a high resolution electron microscope. All films were found to show a crystalline microstructure. Electron diffraction study and high resolution techniques revealed a face centred cubic phase of alumina in the thin dielectric film with lattice parameter of 0.79 nm. A common feature of the films is the presence of a strongly defected band within Al2O3 close to the interface with AlGaN. Beyond the structural study the charge density at the dielectric-semiconductor interface was also determined for a comparison with other samples where the dielectric film was deposited by a high temperature MOCVD process.

R.P2.3
17:30
Authors : D.G. Sangiovanni, G.K. Gueorguiev, A. Kakanakova
Affiliations : Department of Physics, Chemistry, and Biology (IFM), Linköping University, Sweden

Resume : Crystal growth is a complex process governed by the intricate interplay of surface thermodynamics and kinetics. We use density-functional ab initio molecular dynamics (AIMD) with Van der Waals corrections to identify atomistic pathways and associated electronic mechanisms driving gas and surface reactions during metalorganic vapor phase epitaxy. Synthesis of aluminum nitride on defect-free graphene is considered here as model case study. The results presented demonstrate that AIMD can be used to efficiently determine reaction pathways with corresponding rates, overcoming the inherent predictive-capability limitations of static computational first-principles methods. Based on the results of the present work, we suggest plausible atomistic pathways with detailed understanding of underlying electronic mechanisms that lead to AlN nucleation on pristine graphene. Considering that prototype heterostructures of group III nitrides with graphene have been attempted by metalorganic chemical vapor deposition MOCVD, our results further demonstrate the potential and predictive capabilities of AIMD simulations in providing insight into revealing atomic scale surface reactions. In addition, our AIMD simulations reveal C adatom permeation across the graphene sheet as well as exchange of C monomers with graphene carbon atoms at typical experimental temperatures (1500 K).

R.P2.4
17:30
Authors : Jevgenij Pavlov, Eugenijus Gaubas, Gintautas Tamulaitis
Affiliations : Vilnius University, Institute of Photonics and Nanotechnology

Resume : This work is addressed for investigation of the modifications of luminescence spectra of AlGaN-GaN scintillators by radiation defects to increase the threshold of radiation hardness of sensors needed for future experiments at CERN. The impact of radiation defects in AlGaN-GaN scintillator structures is important for transformations of luminescence spectra and electrical characteristics, those employed for registering of high energy radiation. In this work the contact Barrier Evaluating Linearly Increasing Voltage, Deep Level Transient Spectroscopy, as well as contactless Microwave Photoconductivity and Time and Spectra Resolved Photoluminescence techniques were used for spectroscopic characterization of hadron irradiated AlGaN-GaN structures. The modifications of luminescence spectra by varying the hadron fluence in AlGaN-GaN structures of various thickness and of different technology, grown on sapphire and Si substrates were investigated. The impact of irradiations for device parameters has been revealed. The effect or radiation defects for luminescence, recombination and electrical characteristics will be discussed.

R.P2.5
17:30
Authors : G.Z. Radnóczi and B. Pécz
Affiliations : Center for Energy Research - Institute for Technical Physics and Materials Science (MFA) H-1121 Budapest Konkoly-Thege M.u. 29-33.

Resume : Structures of thin InN and AlN films were grown on c-plane sapphire substrate by reactive DC magnetron sputtering in pure nitrogen sputtering gas and annealed above the decomposition temperature of InN directly after growth. The as grown (not annealed) reference structures and annealed structures were characterized by transmission electron microscopy and X-ray diffraction to study the structural evolution and epitaxial relations during the annealing. TEM investigations show substantial improvement in the morphology during annealing, while revealing epitaxial orientation for both as grown and annealed structures. XRD pole figures recorded for classification of the misoriented grains in the epitaxial structure reveal relatively large deviation from the epitaxial orientation of a few degrees. According to XRD pole figures recorded for In{101} reflexions epitaxial metallic indium is also present in these structures.

R.P2.6
17:30
Authors : Veaceslav Sprincean1, Dumitru Untila1,2, Iuliana Caraman2, Elmira Vatavu1, Ion Tiginyanu3, Mihail Caraman1
Affiliations : 1Faculty of Physics and Engineering, Moldova State University, Alexei Mateevici, 60, MD-2009, Chisinau, Republic of Moldova; 2Ghitu Institute of Electronic Engineering and Nanotechnologies, Academy of Sciences of Moldova, Academiei, 3/3, MD-2028, Chisinau, Republic of Moldova; 3Department of Microelectronics and Biomedical Engineering, Technical University of Moldova, Stefan cel Mare Avenue, 168, MD-2004, Chisinau, Republic of Moldova

Resume : The β-GaS singlecrystals consist of S-Ga-Ga-S elementary packings, with ionic-covalent bonds inside and polarization forces between them. This fact allows the intercalation of different atoms and molecules between packings. In this paper crystalline structure, surface and interface morphology, and photoluminescence at 80-300K, are studied for the composite material obtained by thermal annealing of β-GaS single crystalline plates in normal atmosphere, at 1170K, for 1-24 hours. From the XRD diagrams and Raman spectra it was determined that as a result of 0.5-6 hours thermal annealing, islands of β-Ga2O3 nanowires are formed onto surface of GaS single crystalline plates, while in the case of 6-24 hours annealing the surface is covered by an homogenous layer of β-Ga2O3 formations. The GaS-Ga2O3 nanocomposite, at T = 80K, is photoluminescent in the violet-orange spectral range. From the photoluminescence (PL) spectra analysis and PL intensity dependence on temperature, the energy diagram of the levels participating in the radiative recombination of non-equilibrium charge carriers is determined.

R.P2.7
17:30
Authors : P. Kruszewski, P. Prystawko, A. Kafar and M. Leszczynski
Affiliations : Institute of High Pressure Physics, Polish Academy of Sciences, Sokolowska 29/37, 01-142 Warsaw, Poland; Top-GaN Ltd, Sokolowska 29/37, 01-142 Warsaw, Poland

Resume : Due to superior physical properties, such as wide energy bandgap (Eg), high electron saturation velocity (ve), high critical electric field (Ec) and thus high breakdown voltage (VBr), gallium nitride (GaN) is a great candidate for use in high power, high temperature and RF electronic devices [1]. Most of GaN Schottky diodes exhibit much lower reverse breakdown voltage than it is expected from theoretical calculations. One of the major reason of premature breakdown is extremely high electric field strength near the edges of the Schottky. In the case of Si or SiC based devices several methods of edge termination like mesas, high resistivity regions created by ion implantation, field plates or guard rings have been involved [3-5]. These methods reduce electric field crowding near metal-semiconductor interface leading to substantial increase of breakdown voltage (VBr). In this paper, we report on our initial studies on field plate contact termination for vertical Schottky diodes structures. In this approach, we deposit a film of SiN on the surface of the SBD and using lithography techniques the specific pattern with some openings on the surface is created. Finally, we demonstrate a successful fabrication of such field plates and engineering aspects of SiN field plates fabrication are presented. [1] J.-I. Chyi et al., Solid-State Electronics 44, 613(2000) [3] P.G. Neudeck, et al., Appl. Phys. Lett. 64, 1386 (1994) [4] D.T. Morisette et al., IEEE Trans. Electron. Dev. 48, 349 (2001) [5] V. Saxena et al., IEEE Trans. Electron. Dev. 46, 456 (1999) Acknowledgement This work was financially supported by the SchottGaN Project under the Applied Research Programme of the National Centre for Research and Development, contract no PBS3/B3/29/2015.

R.P2.8
17:30
Authors : P. Prystawko1,2, G. Greco3, M. Grabowski2, P. Kruszewski2, F. Roccaforte3, M. Leszczynski1,2
Affiliations : 1TopGaN, Sokolowska 29/37, 01-142 Warsaw, Poland 2IHPP-PAS”Unipress”, Sokolowska 29/37, 01-142 Warsaw, Poland 3CNR-IMM, Strada VIII, 5, Zona Industriale, 95121 Catania, Italy

Resume : Normally-off AlGaN/GaN High Electron Mobility Transistors [HEMTs] are commercially available. However their positive threshold voltages as well as channel resistivity in on-state are not perfectly uniform. One of the possible approach to obtain normally-off operation consist in using p-type layer behind the gate to locally fully deplete 2DEG. Regrowth of p-GaN layer have been indicated as a smart solution to obtain uniform and repeatable p-n junction. In this context, pre-growth treatment like cleaning and annealing, as well as epitaxial growth process are exteremly important. Also activation of Mg acceptor should be well controlled for obtaining gate Schottky barrier repeatable. The Junction Termination Extension (JTE) of GaN Schottky diodes can be obtained by p-type material epitaxially regrown around the main body of Schottky diodes. In addition Merged pn-Schottky diodes (MPS) with reduced drift region resistance require similar approaches of high purity therefore high quality regrowth interface of p-type GaN on n- drift region material. Introducing p-doped islands in MPS structure additionally shields the electric field from the Schottky contact thus reducing the leakage currents. Hence, using MPS structure enables lower overall resistance by thinning and increasing drift layer doping without significant increase of the leakage current. In this work, we have investigated selective growth of p-type GaN layers using wettable mask during MOCVD process. It was done both on HEMT wafers with 2DEG as well as on lightly doped Schottky diode structures. Thermal activation process has been optimized for complete acceptor conversion with minimal surface degradation. Regrown layers were characterized with AFM microscopy and their electrical properties were determined using I-V test structures. Resistivity evolution upon activation steps has been monitored using circular TLM (C-TLM) technique and Hall effect measurements in Van der Pauw geometry. Finally, using Hg-probe CV profiling we measured rechargeable net acceptor concentration of 1.51019cm-3 in thin GaN layers doped to about 31019cm-3 Mg in crystal lattice.

R.P2.9
17:30
Authors : A. Severino, F. Iucolano
Affiliations : STMicroelectronics

Resume : Gallium Nitride (GaN) is a very attractive wide band-gap semiconductor for both power and radio frequency (RF) devices. The intrinsic properties of the epitaxial layers should be very different if applied to power or RF applications. In the case of RF applications, growth of moderately thin multilayers (< 2 ?m) has to be conducted on very high resistivity Si substrates (> 5 k?.cm). This is challenging due to difference stress relief on floating zone Si substrates if compared to standard low resistivity substrates. A strong influence on the resulting GaN epitaxial properties is due to the reaction chamber and to the configuration of the metalorganic chemical vapour deposition (MOCVD) reactors. In this work, we report about the morphological and electrical performances of a set of samples generated in two different reactors, a turbo disc and a planetary reactors respectively. If on one side turbo disc reactors results in a much higher uniformity on wafer in terms of thickness, specie compositions and sheet resistance, on the other side the industrial appeal of such reactors is limited by the lower throughput achievable. Generated samples were in all cases limited to 2 mm of total epitaxial thickness and atomic force microscopy, x-ray diffraction and SIMS analysis were applied to determine the initial crystal quality and overall morphological as well as the stacking composition of the epitaxial template. Electrical analysis are in progress to determine sensible parameter affected by the epitaxial process.

R.P2.10
17:30
Authors : G. Colibaba, V. Suman
Affiliations : Moldova State University, A. Mateevici 60, MD-2009, Chisinau, Moldova

Resume : Wide band-gap gallium oxide (Ga2O3) is a promising material for optoelectronics and photonics. Present investigation is devoted to obtaining Ga2O3 crystals using chemical vapor transport (CVT) in sealed chambers. The thermodynamic analysis of the composition of CVT systems with Ga2O3 and H2, C, CO, CO CO2, HCl, HCl H2, HBr, HI, Cl2, Br2, I2, S, P as transport agents (TA) is carried out for temperature range of 1000-1500 K and for various loaded TA pressures. The influence of the growth temperature and of the TA density/composition on the mass transport rate is investigated theoretically and experimentally. The TA compositions favorable for the growth of Ga2O3 films, for narrow prismatic crystals and for bulk crystals are found. Thermodynamic analysis for composition of Ga2O3-TA-MexOy CVT systems is carried out for oxides (MexOy) of various metals. Promising perspectives for obtaining Ga2O3 crystals homogeneously doped during the growth process are analyzed.

R.P2.11
17:30
Authors : Jan Beyer, Nadine Schüler, Kay Dornich, Johannes Heitmann
Affiliations : TU Bergakademie Freiberg, Institute of Applied Physics, Leipziger Str. 23, 09599 Freiberg, Germany; Freiberg Instruments GmbH, Delfter Straße 6, 09599 Freiberg, Germany

Resume : Spatially resolved microwave detected photoconductivity (MDP) measurements are a powerful and widely employed technique for characterizing minority carrier lifetimes and their spatial distribution on indirect bandgap semiconductor wafers, e.g. Si and SiC. For direct wide bandgap semiconductors, however, their applicability has been limited due to short carrier lifetimes. We report on wafer-scale mappings of MDP and MDP decay transients on GaN wafers, both bulk substrates and thin films, and their correlation to further spatially resolved characterization techniques, such as photoluminescence mappings. Despite a time resolution in the range of few tens of ns, relevant material inhomogeneities can be detected and characterized.

R.P2.12
17:30
Authors : Daniel J. Jastrzebski1, Karolina Pietak1, Cezariusz Jastrzebski2, Slawomir Podsiadlo1
Affiliations : 1 Faculty of Chemistry, Warsaw University of Technology; Noakowskiego 3, 00-664 Warsaw, Poland 2 Faculty of Physics, Warsaw University of Technology; Koszykowa 75, 00-662 Warsaw, Poland

Resume : Ga2S3 crystal belongs to wide band gap materials (direct energy gap ~3.4 eV) with high transparency in visible and IR region. Additionaly, it has a very high laser-induced damage treshold and high efficiency of second-harmonic generation. The last interest of Ga2S3 material is focused on surface pasivation of different semiconductors to enhance their electrical and optical properties [1]. This work concerns the growth of thin layers of GaS on such semiconductors as GaP or GaAs. Thin layers of Ga2S3 were obtained by reaction of sulfur vapor with thin plates of the semiconductors. AFM studies showed the topography of the obtained Ga2S3 layers. Their thickness ranged from several dozen nanometers to about 1-2 micrometers. The obtained layers were structuraly characterized SEM and Raman spectroscopy. The obtained layers were identified as a monoclinic α'-Ga2S3 phase. The obtained results will be presented. [1] H. F. Liu, K. K. Ansah Antwi, N. L. Yakovlev, H. R. Tan, L. T. Ong, S. J. Chua, D. Z. Chi ACS Appl. Mater. Interfaces 6 (2014) 3501−3507.

R.P2.13
17:30
Authors : L. Liggio 1, A. Imbruglia 2, M. Saggio 2, M. Cacciato 3, J. Domingo Salvany 4, B. Silvestre 5, S. Reggiani 6, G. Meneghesso 6, S. Patanè 7, M. Husak 8, M.C. Wurz 9, F. Roccaforte 10, P. Fiorenza 10, F. La Via 10, A. La Magna 10, X. Pignat 11, O. Metzelard 12, J. Favre 13, F. Di Leo 14, M. Haug 15, J-F. Michaud 16, D. Alquier 16, J. Havlik 17, T. Valentinetti 18, G. Viano 19, G. Russo 20
Affiliations : 1 Distretto Tecnologico Sicilia Micro Nano Sistemi - DTSMNS (Italy); 2 STMicroelectronics (Italy), 3Universtity of Catania (Italy), 4NEXTER Electronics (France), 5VALEO Systems de Controle Moteurs (France), 6IUNET (Italy), 7University of Messina (Italy), 8University of Praga (Czech Republic), 9University of Hannover (Germany), 10Consiglio Nazionale delle Ricerche, Istituto per la Microelettronica e Microsistemi - CNR-IMM (Italy), 11ZODAERO (France), 12APOJEE (France), 13APSI3D (France),14SAT (Italy), 15Wührt (Germany), 16University of Tours (France), 17IMA (Czech Republic), 18Enel-X (Italy), 19 SOFTECO (Italy),20 Distretto Tecnologico Aerospaziale della Campania - DAC (Italy).

Resume : It is well known that Silicon Carbide (SiC) represents the forthcoming alternative to Silicon (Si), to get a higher efficiency and a higher power density in electronic devices. In particular, SiC devices have the capability to withstand high currents and high breakdown voltages, and to operate at high temperatures. However, while SiC Schottky diodes and MOSFETs are already available in the market, device processing issues and reliability concerns are still limiting the future development of SiC technology in many applications. The European project WInSiC4AP (Wide band gap Innovative SiC for Advanced Power) aims at developing reliable technology bricks for efficient and cost-effective applications, in automotive, aerospace, railway and defense. The ultimate outcome of the project is the design and the demonstration of enhanced SiC components for automotive, railway and aerospace qualification driven by several applications: on-board battery chargers and converters for HEVs, intelligent power converters of railway applications, inverters for aerospace applications, etc. The achievement of the objectives is supported by a wide range of competences and capabilities within the Consortium, e.g., innovative processing and components (gate oxides, contacts, passive components, interconnection technologies, etc.), reliability and failure modeling analyses, advanced packaging and bonding options, up to the design methodologies for high efficiency applications. The poster will give an overview of the project goals and running activities.

R.P2.14
17:30
Authors : Bin Amin, Thaneshwor P. Kaloni, N. Singh, and U. Schwingenschlögl
Affiliations : Department of Physics, Hazara University, Mansehra, Pakistan King Abdullah University of Science and Technology (KAUST) Saudi Arabia

Resume : Based on first-principles calculations, the materials properties (structural, electronic, vibrational, and optical properties) of out-of-plane heterostructures formed from the transition metal dichalcogenides (MoS2, WS2, MoSe2, WSe2), were investigated. The out-of-plane heterostructures (MoS2-WS2, MoSe2-WSe2, MoTe2-WTe2) are found to be indirect band gap semiconductors with type-II band alignment. Direct band gaps can be achieved by moderate tensile strain in specific cases. The excitonic peaks show blueshifts as compared to the parent monolayer systems, whereas redshifts occur when the chalcogen atoms are exchanged along the series S-Se-Te. Strong absorption from infrared to visible light can be achieved. The heterostructures of MoS2-WSe2 and WS2-MoSe2 are found to be direct and indirect band gap semiconductors, respectively. However, a direct band gap in the WS2-MoSe2 heterostructure can be achieved by applying compressive strain. Furthermore, the excitonic peaks in both monolayer and bilayer heterostructures are calculated to understand the optical behavior of these systems. The suppression of the optical spectrum with respect to the corresponding monolayers is due to interlayer charge transfer. The stability of the systems under study is confirmed by performing phonon spectrum calculations.

R.P2.15
17:30
Authors : F. La Viaa, F. Roccafortea, A. La Magnaa, R. Nipotia, P. Wellmannb, D. Crippac, M. Mauceric, J. Shawd, L. Miglioe, M. Zielinskif, A. Schönerg, A. Nejimh, L. Vivanii, R. Yakimoval, M. Syväjärvi.l, G. Gregorym, F. Torregrossam, M. Jenkinsn, P. Mawbyn, R. Anzaloneo, S. Coffao, H. Nagasawap
Affiliations : a CNR-IMM, Italy; b University of Erlangen, Germany; c LPE SpA, Italy; d Anvil Semiconductors, United Kingdom; e University of Milano-Bicocca, Italy; f NOVASIC SA, France; g ASCATRON AB, Sweden; h Silvaco Europe LTD, United Kingdom; i MOVERIM Consulting sprl, Belgium; l University of Linkoping, Sweden; m Ion Beam Service, France; n University of Warwick, United Kingdom; o STMicroelectronics SpA, Italy; p CUSIC, Japan

Resume : Typical figures of merit for power devices suggest that SiC is approximately ten times better than Si in terms of device on resistance for a given operating voltage. Today 4H-SiC is the preferred material but its main limitation is the low channel mobility of carriers, which reduces the performance of the MOSFET switch. This limitation is extremely important especially in the region below a breakdown voltage of 800V where DC-DC converters and DC-AC inverters are needed for electric vehicles or hybrid cars. The best alternative for these applications is 3C-SiC. The MOSFETs or Schottky diodes realized on the 3C-SiC material can have a very low Ron (Ron<5 mOhm). Then a considerable reduction of the power loss with respect to Si and 4H-SiC can be obtained below 800V. To become feasible this emerging technology needs to improve the quality of the material that is grown on the silicon substrate. We propose a new approach to improve the quality and to reduce stress: it is necessary to modify the structure of the substrate in order to force the system to reduce the defects while increasing the thickness of the layer. Furthermore, by using the typical bulk growth techniques used for 4H-SiC it is possible to grow bulk 3C-SiC wafers, improving considerably the quality of the material. Challenge is an industrial project, joining the most of the public and private European players on 3C-SiC, material and devices.

R.P2.16
17:30
Authors : G. Greco 1 , P. Prystawko 2 , P. Kruszewski 2 , F. Giannazzo 1, F. Roccaforte 1 , M. Leszczyski 2
Affiliations : 1 Consiglio Nazionale delle Ricerche ? Istituto per la Microelettronica e Microsistemi (CNR-IMM), Strada VIII, n. 5 Zona Industriale, 95121 Catania, Italy 2 Institute of High Pressure Physics ? Polish Academy of Sciences, Sokolowska 29/37, 01-142 Warsaw, Poland

Resume : This poster illustrates the scientific outcomes from collaboration between the Institute for Microelectronics and Microsystems of the National Research Council of Italy (CNR-IMM) and the Institute of High Pressure Physics of the Polish Academy of Science (Unipress-PASS). The two research institutes started their interaction already in 2010 in the framework of the ENIAC-JU project Last Power. Today their scientific collaboration is strengthened by a bilateral exchange project funded in the frame of the Collaboration Agreement between CNR and PAS. The bilateral project ?ETNA - Energy efficiency Through Novel AlGaN/GaN heterostructures? started in 2014. The main scientific scope of the collaboration is to investigate novel AlGaN/GaN heterostructures that can be suitable for the next generation of high efficiency devices. In particular, one of the scientific objectives of the project is to develop and characterize new GaN-based materials for normally-OFF HEMTs and vertical diodes, using selectively doping techniques of p-GaN regions. To reach these goals, Unipress-PAS leads the activity on the materials development, while CNR-IMM focuses on the devices processing development and advanced characterization. The running experimental activities already resulted in the publication of some joint papers in international journals. Moreover, mutual visits are regularly organized, which include seminars, small workshops, and training activities for young researchers.

R.P2.17
17:30
Authors : F. Giannazzo (1), A. Kakanakova (2), I. Ivanov (2), R. Yakimova (2)
Affiliations : (1) CNR-IMM, Strada VIII, 5, Zona Industriale, 95121 Catania, Italy; (2) Department of Physics, Chemistry and Biology, Linköping University, S-581 83 Linköping, Sweden

Resume : Epitaxial graphene (EG) grown by high temperature decomposition of silicon carbide is a material of choice for high frequency transistors, metrology and high performance sensors. Due to the specifica growth mechanism, EG interface with SiC(0001) exhibits a peculiar structure, i.e. the presence of a carbon buffer layer which is, in part, covalently bonded with the Si face, with the presence of Si dangling bonds. These are responsible of a high n-type doping (up to 10^13 cm^-2) and degradation of EG carrier mobility (typically around 1000 cm^2V^-1s^-1), as well as of a small Schottky barrier height (SBH) at EG/SiC(0001) interface. Intercalation with H2 or other species has been employed to decouple the C buffer layer from the substrate, resulting into a quasi-free-standing epitaxial graphene (QFSEG). Besides an improved in-plane carrier mobility, QFSEG shows an increase of the SBH for vertical current injection across the interface with SiC. This peculiar electrical property suggests the possibility of probing the uniformity of H2 intercalation at nanoscale by vertical current mapping using conductive atomic force microscopy (CAFM). In this work, we investigated monolayer EG grown by decomposition of 4H-SiC(0001) at high temperature (2000 °C) in a sublimation reactor, and subsequently subjected to H2 intercalation at two different temperatures, i.e. 900 ° for 60 min and 1100 °C for 30 min. The morphology and uniformity of monolayer EG coverage before intercalation were monitored by tapping mode AFM (height and phase mode) and reflectivity mapping. CAFM current maps showed uniform current injection across the interface, whereas local I-V analyses showed a rectifying contact with relatively low SBH (approx 0.4 eV). After intercalation, micro-Raman spectra were acquired to detect the changes in the characteristic vibrational features associated to the buffer layer. CAFM current mapping and local I-V spectroscopy were employed to evaluate the lateral uniformity of the SBH, which can be related to the uniformity of the H2 intercalation. The average value of the Schottky barrier was increased (up to 1 - 1.2 eV) after intercalation. By comparing morphology and current maps, a reduced current injection (i.e. a locally higher SBH value) was found in the regions corresponding to SiC step edges with respect to planar regions, especially for the sample subjected to intercalation at higher temperature. These observation can give an indication on the mechanism of H2 intercalation at EG/SiC interface as a function of the process temperature.

R.P2.18
17:30
Authors : A. Nikolenko (1), В. Tsykaniuk (1), V. Strelchuk (1), B. Sadovyi (2), P. Sadovyi (2), S. Porowski (2), I. Petrusha (3), V. Turkevich (3), and I. Grzegory (2)
Affiliations : (1) V. Lashkaryov Institute of Semiconductor Physics, National Academy of Sciences of Ukraine, prospekt Nauky 45, 03028 Kyiv, Ukraine (2) Institute of High Pressure Physics, Polish Academy of Sciences, Sokolowska str. 29/37, 01-142 Warsaw, Poland (3) V. Bakul Institute for Superhard Materials of the National Academy of Sciences of Ukraine, Avtozavodska str. 2, 04074 Kyiv, Ukraine

Resume : Development of GaN single crystals growth technology necessary to produce high quality substrates for GaN homoepitaxy is very important task. One of the known methods of bulk GaN growth is synthesis from solution in Ga metal at high pressure and high temperature (HP-HT). However, low solubility of N in Ga limits its practical applications. One of the ways to increase the solubility of N is using of metals solvents, such as Fe, which leads to higher solubility of nitrogen. We report on optical Raman and photoluminescence (PL) studies of bulk GaN crystals synthesized from Ga-Fe-N solution in HP-HT process at 1 GPa and T < 1500 °C. Raman spectra of the studied GaN crystals showed high crystalline quality of wurtzite-type GaN as evidenced by narrow and intense E2 and A1(LO) modes. Absence of plasmon-LO phonon coupled modes is interpreted as a sign of low concentration of free electrons. PL spectra in the VIS-UV range consisted of three emission regions: near band emission (NBE) peaks in 3.3-3.5 eV range and two broad bands – the blue band (BB) at 2.84 eV and the yellow band (YB) at 2.19 eV. The NBE was dominated by the donor bound excitons emission related to typical unintentional donor impurities in GaN (Si and O). The YB was assigned to deep acceptor levels associated with gallium vacancies VGa, which are incorporated along with O donors partially compensating them. The BB was assigned to incorporation of residual Mg impurities. The PL in the NIR range was dominated by two strong signals at 1.193 eV and 1.298 eV, which are correspondingly attributed to internal electronic transition of Ti2+ and Fe3+ centers incorporated into GaN. Variations of intensity, shape and position of the Fe-induced peak in different GaN crystals, as well as its excitation mechanism, are discussed in relation with non-uniform incorporation of Fe impurity and possible distortion of nitrogen tetrahedron due to defect complexes at high Fe concentrations.

R.P2.19
17:30
Authors : P. Fiorenza(1), E. Schilirò(1), G. Greco(1), F. Roccaforte(1), F. Giannazzo(1), I. Cora(2), L. Toth (2), B. Pecz(2)
Affiliations : (1) Consiglio Nazionale delle Ricerche – Istituto per la Microelettronica e Microsistemi (CNR-IMM), Strada VIII, n. 5 Zona Industriale, 95121 Catania, Italy (2) Institute for Technical Physics and Materials Sci., Research Centre for Natural Sciences, Hungarian Academy of Sciences, 1121 Budapest, Konkoly-Thege u. 29-33

Resume : This poster illustrates the scientific outcomes from collaboration between the Institute for Microelectronics and Microsystems of the National Research Council of Italy (CNR-IMM) and the Institute for Technical Physics and Materials Science of the Hungarian Academy of Sciences (HAS-MTA). The two research institutes started their interaction already in 2015 in the framework of the FlagERA-GRIFONE project. Today their scientific collaboration is strengthened by a bilateral exchange project funded in the frame of the Collaboration Agreement between CNR and HAS. The bilateral project “Ghost: Graphene heterostructures with ultra-thin films of wide bandgap semiconductors” started in 2016. The main scientific scope of the collaboration has been to investigate ultra-thin films of wide bandgap semiconductors (AlN, GaN) on silicon carbide (SiC) with epitaxial Gr on the top of SiC. To these aim, the advanced characterization expertise present within the two partners institutions (i.e. scanning probe microscopy electrical characterization at IMM and high resolution transmission electron microscopy at HAS-MTA) have been extensively employed. Furthermore, some key process steps for the fabrication of novel devices based on two-dimensional materials have been investigate, including Ohmic and Schottky contacts and the atomic layer deposition of ultra-thin insulating films. The running experimental activities already resulted in the publication of some joint papers in international journals. Moreover, mutual visits are regularly organized, which include seminars, small workshops, and training activities for young researchers.

R.P2.20
17:30
Authors : Emanuela Schilirò1, Patrick Fiorenza1, Salvatore Di Franco1,Fabrizio Roccaforte1, Raffaella Lo Nigro1
Affiliations : 1CNR-IMM, Catania, Italy

Resume : GaN-based semiconductor field effect transistor and high electron mobility transistor devices have shown excellent progress in recent years and are suitable candidates for high frequency and high power electron devices. However, one of the more challenging aspects of GaN-based technology is related to the achievement of functional oxides, able to improve the device performance in terms of crucial parameters including: channel mobility, parasitic capacitance and gate leakage current. Moreover, since device frequency performance is strongly dependent on maintaining a high geometric aspect ratio between gate length and barrier thickness, precise control over the thickness of gate insulators is very important. Atomic layer deposition (ALD) is considered as a key enabling technique because it is a surface controlled layer-by-layer process for the deposition of thin films with atomic layer accuracy. In this contest, as GaN based substrates do not form a pristine interface with most oxides, pre-deposition surface treatments could affect the nucleation of the oxide layer and hence the quality of the material. A systematic study on the effect of several AlGaN/GaN surface pretreatments before ALD Al2O3 growth has been carried out by comparison of the material and electrical characteristics. The Al2O3 thin films have been deposited by Plasma Enhanced ALD (PEALD) from trimethylaluminium precursor and oxygen plasma as oxygen reactants at 250°C. Before deposition, the AlGaN/GaN surfaces were treated either with: (a) H2O2:H2SO4 (1:5, piranha); (b) H2O:HF:HCl (10:1:1) and (c) piranha + H2O:HF (10:1) for 10 min each. Films obtained after all the three treatments have been observed to be adherent and to have uniform thicknesses of about 30 nm, but different structural evolution has been observed under electron beam analysis, rearraging from amorphous as-deposited films to epitaxial films after b) and c) treatments, while the formation of polycrystalline films has been observed after a) treatment. This in an indication that in case of HF-based treatments, the PEALD deposition occurred on very clean AlGaN surface, which can act as seed layer for the formation of epitaxial films when some energy can be provided to the system. Surface morphology obtained by atomic force microscopy in tapping mode shows scattered threedimensional nucleation of Al2O3 on piranha-pretreated substrate, while HF-pretreated surfaces resulted in smooth Al2O3 layers. Moreover, the pre-deposition treatments of AlGaN/GaN surfaces with the HF and HF-HCl cleaning resulted in the best dielectric properties. Thus, the pre-treatment of the GaN surface is an important technological step and the HF based cleaning provided the best results in terms of morphological, structural and electrical properties.

R.P2.21
17:30
Authors : M. Guziewicz (1), A. Taube (1), M. Ekielski (1), K. Golaszewska (1), J. Zdunek (2) , B. Adamczyk-Cieślak (2), A. Szerling (1)
Affiliations : 1) Institute of Electron Technology, Al. Lotnikow 32/46, 02-668 Warsaw, Poland 2) Faculty of Materials Science and Engineering, Warsaw University of Technology, ul. Wołoska 141, 02-507 Warszawa

Resume : AlGaN/GaN-on-Si HEMTs are promising devices for the use in high power and high voltage electronics. In order to fabricate the AlGaN/GaN-on-Si HEMTs on existing Si-CMOS production lines, manufacturing processes must meet the rigors of Si-CMOS technology. Up to now conventionally used ohmic contacts to AlGaN/GaN HEMTs contain Au in multilayer metallization, which diffuses into AlGaN/GaN heterostructure and promotes formation of low-resistive contact after annealing in the 800 – 900°C temperature range. This causes problems with morphology and uniformity of contacts metallization. Recently, Au-free Ti/Al, Si/Ti/Al or Ta/Al metal schemes were studied as a ohmic contact metallization for AlGaN/GaN HEMTs, however the mechanism of ohmic contacts formation, despite many efforts, is not yet fully understood. Here, we propose Ti/Al-based metallization with TiN/Cu cover to form ohmic contact to AlGaN/GaN-on-Si HEMTs with low thermal budget, compatible with Cu interconnection technology and Cu-based assembly. The metal films were deposited by magnetron sputtering methods onto recessed AlGaN/GaN HEMT structure. Ohmic contact was formed by RTA annealing. Contact resistance was determined using c-TLM method whereas structural properties of multilayers were studied by XRD, SEM and TEM methods. Thermal stability of the metallizations were studied under different temperature and ambient conditions. Ohmic contacts are formed after annealing at temperature of 550°C for 90 s reaching contact resistivity around and below 1E-4 ohm-cm2 dependent on multilayer composition. Structural studies identify TiAl3 and Ti3Al phases in annealed metal multilayers along with 2.2 nm AlN or TiAlN interfacial layer. The (60 nm)TiN flim separates the contact metallization from the Cu layer even after subsequent annealing processes at 600, 650 and 700°C for 90s. This work was supported by The National Centre for Research and Development under Agreement nr TECHMATSTRATEG1/346922/4/NCBR/2017 for project "Technologies of semiconductor materials for high power and high frequency electronics"

R.P2.22
Start atSubject View AllNum.
 
GaN HEMTs technology : Eldad Bahat Treidel (Ferdinand-Braun-Institut, Germany)
14:00
Authors : 1) F. Iucolano, 2) T. Boles
Affiliations : 1) STMicroelectronics; 2) Macom

Resume : GaN?s pathway to mainstream commercialization has hinged on its ability to support the volume and cost requirements of end applications including 4G LTE and 5G wireless base stations. The sheer volume of GaN production required to service price sensitive commercial applications and the demands that these markets place on the semiconductor supply chain eliminates GaN-on-SiC as a viable contender, given SiC?s extremely slow ingot growth rates, and the present inability to scale GaN-on-SiC wafer production beyond 6? wafer diameters. This leaves GaN-on-Si technology as the only viable way forward for the commercial development of GaN, and to meet the volume, cost structure and surge capacity requirements for commercial markets, GaN-on-Si production must be ported from III-V compound semiconductor foundries to mainstream silicon CMOS manufacturing lines. However, in making the jump from III-V to CMOS-based GaN-on-Si fabrication, where tens of thousands of wafers are produced in a single week, considerable effort is required to conform to standard CMOS process nodes. The respective process modules employed in III-V and CMOS wafer fabrication are significantly different. Whereas III-V allows for a degree of flexibility in the workflow, CMOS production flows require strict adherence to distinct and individually optimized modules. In this paper the major challenges to produce RF GaN on Si devices will be reported. Moreover, some RF performance will be also showed demonstrating that CMOS-manufactured GaN-on-Si devices exhibit equivalent performance to GaN-on-Si devices historically produced in III-V fabs.

R.8.1
14:30
Authors : P. Fiorenza 1, G. Greco 1, F. Giannazzo 1, F. Iucolano 2 , F. Roccaforte 1
Affiliations : 1. CNR-IMM, Catania, Italy; 2. STMicroelectronics, Catania, Italy

Resume : Gallium nitride (GaN) is a promising material for high power and high frequency devices. High electron mobility transistors (HEMTs) are normally-ON devices, based on the presence of the two dimensional electron gas (2DEG) in AlGaN/GaN heterostructures. However, in power electronics, normally-OFF transistors are preferred. A promising approach to obtain normally-OFF HEMTs consists in the complete removal of the AlGaN barrier under the gate, creating a metal insulator semiconductor (MIS) recessed-gate HEMT (MISHEMT). Clearly, the comprehension of the dielectric/GaN interfaces properties is a key aspect for the progress of this technology. In this work, recessed-gate GaN MISHEMTs using SiO2 and AlN/SiN as insulating materials were studied. The devices have been fabricated onto AlGaN/GaN heterostructures grown on Si substrates. The output characteristics of the transistors employing SiO2 gave a mobility value of 108cm2V-1s-1. From the temperature dependence of the field effect mobility it was possible to deduce that phonon and Coulomb scattering (due to interface traps) are the main limiting mechanisms to the current transport in the channel. Hence, the optimization of the interface (reduction of the roughness and of the interface traps) is the route to improve the device behaviour. In fact, using an in-situ MOCVD AlN/SiN bilayer allowed to improve the interface quality and increase the mobility up to 180 cm2V-1s-1. In this case, bias stress measurements were carried out both in a conventional I-V mode and using local C-AFM in the channel regions. These measurements indicated the occurrence of electron trapping phenomena, which can be associated to the presence of electrically active defects in the insulating stack.

R.8.2
14:45
Authors : P. Fiorenza 1, E. Schilirò 1, R. Lo Nigro 1, G. Greco 1, F. Iucolano 2, F. Roccaforte 1
Affiliations : 1. CNR-IMM, Catania, Italy; 2. STMicroeletronics, Catania, Italy

Resume : HEMTs based on AlGaN/GaN heterostructures worthily combined the high breakdown field with the high sheet carrier density and mobility of the two-dimensional electron gas (2DEG) at the AlGaN/GaN heterojunction. One possible solution to achieve the favourable normally-off operation is the recessed MISHEMT which comprises a recessed MIS channel in the gate region in series with a low 2DEG on-resistance access region. Hence, a key issue of such technology is the stability of the insulator/GaN system. Al2O3 is very attractive insulating materials as it can be easily and reproducibly deposited by atomic layer deposition (ALD). It is often reported in literature that ALD Al2O3 films suffer of electron trapping (ET) at oxide bulk sites. The ET is usually monitored performing the hysteresis measurements of the capacitance-voltage (C-V) characteristics. Such C-V hysteresis can be influenced by a partial re-emission of the trapped electrons when the device is reversely biased driving to an underestimation of the bulk traps. In this work, the ET effects in Al2O3 thin insulating films grown by plasma enhanced ALD (PEALD) onto recessed AlGaN/GaN heterostructure were studied by means of a non-relaxing time-dependent gate-capacitance transient measurements (C?t) under accumulation condition. The temperature dependent C-t measurements in strong accumulation allowed to determine the ET energy (0.12 ± 0.02 eV) and the amount of the oxide traps within the insulator (Not 2÷6×1012cm?2). The trapping energy is comparable to that obtained on Al2O3 films deposited on the other wide band gap semiconductor silicon carbide (4H-SiC), and can be related to intrinsic defects present in th

R.8.3
15:00
Authors : Mohammed Boucherta, Ali Soltani, Mohamed-Reda Irekti, Jean-Claude De Jaeger, Abdallah Ougazzaden
Affiliations : Georgia Tech Lorraine, Georgia Tech- Centre National de la Recherche Scientifique (CNRS), Unité Mixte Internationale (UMI 2958), 2-3 rue Marconi, Metz 57070, France; Institute d’Electronique, de Microélectronique et de Nanotechnologie, Centre National de Recherche Scientifique (IEMN/CNRS) 8520, Université de Lille Science et technologies, Villeneuve d’Ascq 59652, France; The Laboratoire Nanotechnologies Nanosystèmes, Institut Interdisciplinaire d’Innovation Technologique, Université de Sherbrooke, Sherbrooke, QC J1K OA5, Canada; School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30332, USA;

Resume : GaN-based High Electron Mobility Transistors (HEMTs) are now established as attractive devices for high frequency and high power applications. However, the linearity of GaN transistors limits their efficiency and operating power density. The nonlinear behavior of GaN HEMT is linked with different physical mechanisms, such as the self-heating effect at high drain current levels, the increase of access resistance with current IDS, the interface scattering, etc. Several technological solutions were reported to improve the non-linearity of GaN HEMTs such as self-aligned gate [1], two-dimensional electron gas (2DEG) well engineering [2], MOSHEMT structure, etc. Furthermore, a Planar-Nanostrip-Channel InAlN/GaN HEMTs on Si was also reported to improve gm and fT linearity by using arsenic ions implantation [3]. In this work, we propose a new technology to improve the GaN HEMT AlGaN/GaN linearity. This one consists in planar nanoribbons channel implanted by using nitrogen ions which is cheaper and has safer exploitation than arsenic. We report an AlGaN/GaN high electron mobility transistor (HEMT) with a planar nanoribbons channel design to improve its transconductance gm linearity, reduce on-resistance (RON) and increase drain current density (IDS). The planar nanoribbons consists of multiple parallel channels with nanoscales width of 200 and 250nm defined by electron-beam lithography and formed by partial nitrogen ion implantation isolation in which a periodic trench structure is implanted only under the gate electrode. Devices with a gate length (Lg) of 150nm and a source-to-drain spacing (Lsd) of 5μm were fabricated. A conventional device was also fabricated on the same epilayer structure for comparison. It was observed that the nanoribbons can reduce the access resistance, and thereby improve the device gm linearity. The electrical properties of the planar nanoribbon channel have been characterized by static measurements, and compared with similar planar devices fabricated in close proximity. These results show the great potential of the planar nanoribbon GaN HEMT to be used in high linearity RF applications. We demonstrate also high electron mobility transistors (HEMTs) based on AlGaN/GaN nanowires (NRs) structures grown on a Si substrate. The nanowires were fabricated through a top-down technology. Electron-beam lithography and Cl2-based dry etching were used to define the NRs with widths in the 80–250 nm range. The electrical properties of the AlGaN/GaN NRs have been measured and compared to standard planar structures fabricated on the same chip. [1] K. Shinohara, D. Regan, A. Corrion, D. Brown, Y. Tang, J. Wong, G. Candia, A. Schmitz, H. Fung, S. Kim, and M. Micovic, “Selfaligned gate GaN-HEMTs with heavily-doped n -GaN ohmic contacts to 2DEG,” in IEDM Tech. Dig., Dec. 2012, pp. 617–620 [2] I. Khalil, E. Bahat-Treidel, F. Schnieder, and J. Würfl, “Improving the linearity of GaN HEMTs by optimizing epitaxial structure,” IEEE Trans. Electron Devices, vol. 56, no. 3, pp. 361–364, Mar. 2009, doi: 10.1109/TED.2008.2011849. [3] Weichuan Xing, Zhihong Liu, Member, IEEE , Haodong Qiu, Geok Ing Ng, Senior Member, IEEE , and Tomás Palacios IEEE ELECTRON DEVICE LETTERS, VOL. 38, NO. 5, MAY 2017

R.8.4
15:15
Authors : M. Spera 1,2,3,*, G. Greco 1, R. Lo Nigro1, S. Scalese 1, S. Di Franco 1, C. Bongiorno 1, F. Giannazzo 1, F. Iucolano 4 , F. Roccaforte 1
Affiliations : 1 CNR-IMM, Catania, Italy 2 University of Catania, Italy 3 University of Palermo, Italy 4 STMicroelectronics, Catania, Italy

Resume : Gallium Nitride (GaN) is a promising material for high-power and high-frequency electronics. In particular, good quality Ohmic contacts are important both in GaN and in AlGaN/GaN heterostructures. GaN-on-Si technology requires “Au-free” metallizations, to enable the device fabrication inside Si fabs. The most straightforward approach to obtain Au-free Ohmic contacts is to employ low work-function metals in contact with (Al)GaN, in order to obtain a low Schottky barrier. In this context, while Ti/Al- and Ta/Al-based contacts have been already reported, a systematic comparison of these systems on non-recessed heterostructures was not presented yet. In this work, we studied the electrical and structural behavior of Ti/Al/Ti and Ta/Al/Ta trilayers, deposited onto AlGaN/GaN heterostructures. With increasing the annealing temperature an increase of the current was observed in TLM structures, leading all the systems into an Ohmic condition at 600°C, with values of the specific contact resistance in the order of 10-4 Ωcm2. During annealing, an increase of the surface roughness was observed, which was associated to the formation of new phases in the stack (e.g., TiAl3, TaAl3…) and metal/AlGaN reactions. Interestingly, a thin TiN layer was observed in the Ti/Al/Ti samples, showing the lowest contact resistance. On the other hand, Ta-based contacts exhibited a better surface morphology, most probably owing to the higher stability of the Ta upper layer under high temperature annealing. The temperature dependence of the specific contact resistance allowed to establish a thermionic field emission of the carriers over the barrier (with barrier height values in the range 0.5-0.6eV). This result was correlated with the structural composition of the interface.

R.8.5
 
Nitrides & Carbides: miscellaneous : Patrick Fiorenza (CNR-IMM, Italy)
16:00
Authors : G. Muziol 1, M. Siekacz 1, K. Nowakowski-Szkudlarek 1, A. Feduniewicz-Żmuda 1, H. Turski 1, P. Wolny 1, S. Grzanka 1,2, P. Perlin 1,2, and C. Skierbiszewski 1,2
Affiliations : 1: Institute of High Pressure Physics PAS, Sokolowska 29/37, 01-142 Warsaw, Poland; 2: TopGaN Ltd, Sokolowska 29/37, 01-142 Warsaw, Poland

Resume : The market of the visible optoelectronics based on III-nitride semiconductors is constantly growing. The vast majority of commercially available devices are manufactured using metal organic vapour phase epitaxy (MOVPE). However, few limitations of this technique, such as the intrinsic passivation of p-type doping, may limit some of the applications. The significant differences in growth conditions of plasma assisted molecular beam epitaxy (PAMBE) may lead to some unique features of electronic and optical devices grown by this technique. In particular, the material grown by PAMBE, contrary to the MOVPE, does not suffer from passivation of p-type doping and does not require post-growth activation. This enables more freedom in the design and in the future may open up the way to novel devices unachievable by the MOVPE technique. To fully profit from the possibilities of PAMBE the quality of the material grown using this technique has to be improved. In this paper the recent development in the growth process of PAMBE will be presented. The increasing comprehension of the growth mechanism resulted in major improvements in the material quality of PAMBE grown layer. As a result, laser diodes with extremely long lifetimes reaching 100 000 hours have been achieved. This result shows the potential of the PAMBE technique to manufacture optoelectronic and electronic devices. Additionally, some of the novel devices exploiting the features of PAMBE will be presented.

R.9.1
16:15
Authors : Rabia Yasmin Khosa1,a, Jr-Tai Chen 2,b, Michael Winters 3,c, Robin Karhu 2,d, Jawad ul Hassan 2,e, Niklas Rorsman 3,f, Einar Örn Sveinbjӧrnsson 1,2,g
Affiliations : 1 Science Institute, University of Iceland, IS-107 Reykjavík, Iceland 2 Department of Physics, Chemistry and Biology (IFM), Semiconductor Materials Division, Linköping University, SE-58183 Linköping, Sweden 3 Department of Microtechnology and Nanoscience, Chalmers University of Technology, SE-41296 Göteborg, Sweden

Resume : We report promising results regarding the possible use of AlN or Al2O3 as a gate dielectric in SiC MOSFETs. The great potential of SiC MOSFETs for power electronics is hampered because of low channel mobility mainly due to high density of interface states at the SiO2/SiC interface. Because of this encountered problem the use of high-k dielectrics on SiC has been investigated. AlN and Al2O3 have gained some interest due to their excellent dielectric properties. Here we investigate the electrical properties of AlN or Al2O3 grown on 4H-SiC and compare them with the conventional gate dielectric SiO2. The crystalline AlN films are grown by metal organic chemical vapor deposition and the amorphous Al2O3 films are grown by repeated deposition and subsequent low temperature (200°C) oxidation of thin (1-2 nm) Al layers using a hot plate. Our investigation shows a very low density of interface traps at the AlN/4H-SiC and Al2O3/4H-SiC interfaces estimated from capacitance-voltage analysis of MIS capacitors. However, current-voltage analysis shows that the breakdown electric field across the AlN or Al2O3 is lower than expected (i.e 3 MV/cm for AlN and 5 MV/cm for Al2O3). By depositing an additional SiO2 layer by plasma enhanced chemical vapor deposition at 300°C on top of the AlN or Al2O3 layers it is possible to increase the breakdown voltage of the MIS capacitors significantly without having pronounced impact on the quality of the AlN/SiC or Al2O3/4H-SiC interfaces.

R.9.2
16:30
Authors : E. Schilirò1*, F. Giannazzo1, R. Lo Nigro1, G. Greco1, F. Roccaforte1, P. Prystawko2, P. Kruszewski2, M. Leszczyński2, A. Michon3, Y. Cordier3, I. Cora4, B. Pecz4, H. Gargouri 5
Affiliations : 1 CNR-IMM, Catania, Italy; 2 TopGaN, Warsaw, Poland; 3 CNRS-CRHEA, Vabonne, France; 4 MTA-HAS, Budapest, Hungary; 5 SENTECH Instruments GmbH, Berlin, Germany

Resume : Aluminum nitride (AlN) with its interesting properties, including direct wide band gap, piezoelectric effect and good thermal stability, plays an important role in optoelectronic and electronic devices. In particular, the high mobility two-dimensional electron gas (2DEG) at AlN/GaN interface is attractive for RF-power high-electron mobility transistors (HEMTs) [1]. High crystal quality epitaxial AlN films on GaN are typically grown by MOCVD or MBE at deposition temperatures >700°C. However, the tensile stress affecting these AlN layers and the thermal expansion coefficient mismatch with GaN typically cause biaxial strain relaxation and cracking of the AlN films for thickness above 6-7 nm [1]. In this context, plasma-enhanced atomic layer deposition (PE-ALD) performed at moderate temperature (300°C) [2,3] is an alternative approach for the growth of AlN layers on GaN in those applications where thicker AlN films with uniform and conformal coverage are required. In this work, AlN films with thickness from 5 to 15 nm have been deposited by PE-ALD onto GaN-on-sapphire substrates. The morphological and structural properties have been investigated by Atomic Force Microscopy (AFM), High-Resolution X-ray Diffraction (HR-XRD) and High-Resolution Transmission Electron Microscopy (HR-TEM). All AlN films show a crystalline structure, a c-axis orientation with the GaN substrate, and a good in-plane orientation. In addition, the crystalline structure of AlN follows the hexagonal stacking of GaN for first atomic layers, but after that, stacking faults are generated in the films due to stress relaxation processes. Contactless sheet resistance measurements on as-deposited samples indicated the presence of a 2DEG at the AlN/GaN interface. The PE-ALD deposited AlN developed in this work can find important applications in GaN technology, such as a gate insulator on the recessed GaN channel for normally-off AlGaN/GaN MIS-HEMTs. [1] Y. Cao, D. Jena, Appl. Phys. Lett. 90, 182112 (2007) [2] C. Liu, S. Liu, S. Huang, K. J. Chen, IEEE Electron Devices Letters 34, 1106–1108 (2013). [3] H.-Y. Shih, W.-H. Lee, W.-C. Kao, Y.-C. Chuang, R.-M. Lin, H.-C. Lin, M. Shiojiri, M.-J. Chen, Scientific Reports 7, 39717 (2017).

R.9.3
16:45
Authors : M. Zimbone, C. Bongiorno, E. G. Barbagiovanni, C. Calabretta, F. La Via
Affiliations : M. Zimbone1), C. Bongiorno 1), E. G. Barbagiovanni1), C. Calabretta2), F. La Via1) 1) CNR IMM 95121 - Catania, Italy - Strada VIII, 5, Catania Italy 2) MIFT, Università degli studi di Messina, Viale F. Stagno d?Alcontres, 31 - 98166 Messina, Italy

Resume : In the last few years, silicon carbide has received great attention due to the increased demand in high powered devices. Among all the polytypes, 3C-SiC has the largest mobility and can be grown on silicon making device manufacturing cheaper. Despite the promises, the use of 3C-SiC is limited due to the epitaxial material?s low quality although the crystal properties improve with increasing epilayer thickness. Nevertheless, the first issue encountered while growing thick 3C-SiC on Si are related to the presence of protrusions. In the present work, we show a detailed study of protrusions formed during the hetero-epitaxy growth of 3C-SiC on Si. We focus on size, 3D shape, internal structure, photoluminescence (PL) and Raman features. Protrusions are macroscopic square-shaped defects that become larger as the epi-layer thickness increases. They have peculiar PL features as they are constituted by nanocrystals laterally limited by stacking faults. Protrusion are inverted square pyramid with the vertex near the SiC/Si interface as deduced by a 3D reconstruction. TEM analyses showed that seeds, responsible for the formation of protrusions, lie 10 nm above the SiC/Si interface in the SiC layer. This indication suggests that they nucleate just after or during the carbonization process. In order to reduce the protrusion density, we modify the growth parameters and realize a buffer layer above the carbonization layer. In particular, we introduce a silicon precursor during the ramp up of the temperature between carbonization and growth. The protrusion density decreases from some thousands to less than 10 cm-2 by changing the Si/C ratio and ramp time.

R.9.4
17:00
Authors : F. Li, V. Jokubavicius, M. Jennings, M. Syväjärvi, R. Yakimova, P. Mawby
Affiliations : School of Engineering, University of Warwick, Coventry, United Kingdom; IFM, Linköping University, Linköping, Sweden

Resume : A channel electron mobility of above 200 cm2/V.s was reported for 3C-SiC MOSFET, which remains unmatched by other polytypes today. 3C-SiC thin films have been demonstrated in research labs, with the most effort put into hetero-epitaxial growth on Si via Chemical Vapour Deposition (CVD) methods, other growing methods such as sublimation growing on other polytype SiC substrate have also been explored. Despite the obvious low cost attractions in growing 3C-SiC on large commercial Si wafers, the lattice parameter and Coefficient of Thermal Expansion (CTE) mismatch make it quite difficult to obtain any thick 3C-SiC layer with low defect density. On the other hand, growing 3C-SiC on less mismatched hexagonal SiC polytypes not only promises higher quality epitaxy layer, but also makes it possible to adopt other growing methods limited by the melting point of Si. In this study, MOS-C and MOSFET devices are fabricated on 3C-SiC epilayer grown on on-axis Si, off-axis Si and off-axis 4H-SiC substrates. Comparisons will be made on the material physical properties, as well as the electrical performance of these devices.

R.9.5
17:15
Authors : Michele Amato [1], Riccardo Rurali [2]
Affiliations : [1] Laboratoire de Physique des Solides (LPS), Université Paris-Sud, Centre scientifique d?Orsay, F91405 Orsay cedex, France; [2] Institut de Ciència de Materials de Barcelona (ICMAB-CSIC), Campus de Bellaterra, 08193 Bellaterra, Barcelona, Spain

Resume : Today Si nanowires (NWs) represent a viable solution to realize high-performance sensors due their unique properties and their potential for fabrication into high density nanoscale devices [1]. The large surface-to-volume ratio and the possibility to tune their properties at growth time controlling the composition, crystal orientation, and diameter make Si NWs bound to outperform any other conventional alternative in a large class of sensing environments [1]. On the other hand, because of its excellent chemical and mechanical stability, high hardness and low density it is widespread belief that SiC is a much better biocompatible material than Si. Moreover, its ease to be grown on Si substrates makes it a perfect bridge material between the electronic and biological world. The combination of Si and SiC in a nanostructure, as shown by recent experiments [2], could lead therefore to a material with the smart properties of Si (that can be facilely integrated into current technology) and the biocompatibility of carbon-based systems. We study Si-SiC core-shell NWs by means of electronic structure first-principles calculations [3]. We show that the strain induced by the growth of a latticemismatched SiC shell can drive a semiconductor-metal transition, which in the case of ultrathin Si cores is already observed for shells of more than one monolayer. Core-shell nanowires with thicker cores, however, remain semiconducting even when four SiC monolayers are grown, paving the way to versatile, biocompatible nanowire-based sensors. [1] M. Amato and R. Rurali, Prog. Surf. Sci. 91, 1-28 (2016) [2] L. Latu-Romain and M. Ollivier, J. Phys. D, 47, 203001 (2014) [3] M. Amato and R. Rurali, Nano Lett. 15, 3425 (2015)

R.9.6
Start atSubject View AllNum.
 
Sensors based on WBG : Piotr Kruszewski (Unipress-PAS, Poland)
09:00
Authors : C. D. Matthus, A. J. Bauer, L. Frey, T. Erlbacher
Affiliations : Friedrich-Alexander University Erlangen-Nuremberg, Chair of Electron Devices (LEB) Fraunhofer Institute for Integrated Systems and Device Technology (IISB)

Resume : Precise intensity measurement of UV radiation is imperative e.g. for specific medical equipment. Both hard as well as soft UV light is used, e.g. for sterilization on one hand and psoralen and ultraviolet A (PUVA) therapy on the other hand side. Thus, wavelength-selective UV sensors are required with high quantum efficiency and low dark current. Due to the bandgap of 3.26 eV, devices made of 4H-SiC are per-se visible blind. For pn-based photodiodes, the depth of the pn-junction and, hence, the depth of the depletion region is critical for the spectral position of the maximum responsivity. For p+n-structures with a heavily p-doped emitter, the depletion region spreads nearly exclusively into the lightly n-doped region beneath and the diffusion length of electrons within the p-emitter is very small. Thus, photo generated carriers in this region can barely contribute to the overall sensor signal. Therefore, two different doping profiles on the same die were realized by ion implantation, and monolithically integrated selective UV sensor arrays have been manufactured with two pixels for each variant. The highest responsivity is shifted to longer wavelengths for the deep implantation compared to the shallow implantation. Furthermore, by evaluating the current difference between both variants an UVC optimized sensor is found. We will present the manufacturing process, results of the spectral measurements, and the physical modeling of these selective UV sensors in the presentation.

R.10.1
09:15
Authors : A. Alberti, L. Renna, S. Sanzaro, E. Smecca, G. Mannino, C. Bongiorno, C. Galati, L.Gervasi, A. Santangelo, A. La Magna,
Affiliations : CNR-IMM, Zona Industriale Strada VIII n°5, 95121 Catania, Italy; STMicroelectronics, Stradale Primosole 50, 95121 Catania, Italy; CNR-IMM, Zona Industriale Strada VIII n°5, 95121 Catania, Italy - Department of Mathematical and Computational Sciences, Physics and Earth Sciences, University of Messina, V. le F. Stagno d’Alcontres 31, Messina 98166, Italy; CNR-IMM, Zona Industriale Strada VIII n°5, 95121 Catania, Italy; CNR-IMM, Zona Industriale Strada VIII n°5, 95121 Catania, Italy; CNR-IMM, Zona Industriale Strada VIII n°5, 95121 Catania, Italy; STMicroelectronics, Stradale Primosole 50, 95121 Catania, Italy; STMicroelectronics, Stradale Primosole 50, 95121 Catania, Italy; STMicroelectronics, Stradale Primosole 50, 95121 Catania, Italy; CNR-IMM, Zona Industriale Strada VIII n°5, 95121 Catania, Italy;

Resume : The capillary distribution of reliable solutions for gas detections implies identifying high throughput and up-scalable approaches for the growth of porous active materials with high surface to volume ratio. Thereby we implemented a competitive method to render thin TiO2 layers highly sensitive to gas species. This method is easily implementable in gas sensor devices with an additional ending step at room temperature. It is based on the local oxidation of sputtered Ti atoms that land grazing on the sensor architecture. The process gives rise to a contaminant-free TiO2 spongy structure consisting of rods separated by meso-pores. The rods, on their side, have an internal branched structure creating an interconnected network of nano-pores. The overall porosity amounts to ~50% of the volume. We exploited the double-scale porosity such that the meso-pores behave as highways for the gas species to enter the whole thickness and deeply imbue the network of nanopores. The overall TiO2 structure accounts for more than one order of magnitude sensitivity exhibited by a 350nm-thick spongy layer to 44ppm of ethanol at 250°C. The sensitivity remains relatively high at 17 ppm of ethanol concentration. Moreover, the response of the material is independent of the ambient humidity with a response time as low as ~ 10s. Demonstrating high performances in low-consumption sensing devices represents an important step forward both in terms of materials saving and in terms of industrial benefits.

R.10.2
09:30
Authors : Hojoong Choi, Sehun Seo, Jong-Hoon Lee, Sang-Hyun Hong, Jaesun Song, Seungkyu Kim, Sang-Youp Yim, Kwanghee Lee, Seong-Ju Park, and Sanghan Lee
Affiliations : Hojoong Choi; Sehun Seo; Jong-Hoon Lee; Jaesun Song; Seungkyu Kim; Kwanghee Lee; Seong-Ju Park; Sanghan Lee School of Materials Science and Engineering, Gwangju Institute of Science and Technology, 123 Cheomdangwagi-ro, Buk-gu, Gwangju 61005, Republic of Korea Sang-Hyun Hong; Sang-Youp Yim Advanced Photonics Research Institute, Gwangju Institute of Science and Technology, 123 Cheomdangwagi-ro, Buk-gu, Gwangju 61005, Republic of Korea

Resume : UV phototransistors based on ZnO, a material considered promising owing to its wide direct bandgap and high stability in harsh environments, have been intensively investigated. However, ZnO single-layer UV phototransistors still exhibit poor electrical and UV photoresponse characteristics. On the other hands, bilayer metal-oxide thin film has been considered as a novel architecture for transistors owing to its enormous synergistic effects. Herein, we report the fabrication of a low-cost and high-performance solution-processed ZnO/SnO2 bilayer UV phototransistors with improved electrical and UV photoresponse characteristics attained by inserting a SnO2 carrier transport layer, which is the actual path of the electrons. The photogenerated electrons are readily transferred from the ZnO UV-sensitive layer to the SnO2 carrier transport layer, owing to the lower conduction band of SnO2 carrier transport layer than ZnO UV-sensitive layer, and efficient extraction of photogenerated electrons through the SnO2 carrier transport layer with high field effect mobility contributes to the improvement in the UV photoresponse characteristics of the ZnO/SnO2 bilayer UV phototransistor. The ZnO/SnO2 bilayer UV phototransistor exhibits high responsivity and detectivity as well as fast photoresponse. These results demonstrate that the solution-processed ZnO/SnO2 bilayer UV phototransistor developed in this study provides a novel approach for improving the performance of UV phototransistors.

R.10.3
09:45
Authors : A. Urbieta 1, A. Ramos 1, P. Hidalgo1, J. P. Espinós 2, P. Fernández 1
Affiliations : 1 Departamento de Física de Materiales, Facultad de C.C. Físicas, Universidad Complutense de Madrid, Ciudad Universitaria s/n, 28040 Madrid, Spain 2 Laboratory of Nanotechnology on Surfaces, Instituto de Ciencia de los Materiales de Sevilla (CSIC-Universidad de Sevilla), Avda. Américo Vespucio 49, 41092 Sevilla, Spain

Resume : ZnO have been extensively investigated during many years due to their application in different fields such as optoelectronics, photocatalysis or gas sensing. Doping with Cu has been proposed as an effective method to improve its utility for making CO sensors. In this context, it is important to know the distribution and location of the Cu atoms through the host material as well as its influence on the electronic and optical properties. According to previous X ray microanalysis studies, a strong segregation of Cu atoms at the grain boundaries is sometimes observed, depending on the precursors used. In this work, mixtures of different precursors for both host (ZnO or ZnS) and dopant (CuO or Cu) have been used to obtain Cu doped ZnO sintered samples by thermal annealing. The gas sensing response to reducing and oxidizing atmospheres, of the different samples and the influence of the precursor in this behaviour have been investigated. For this purpose, electrical resistivity of the samples under different gas exposure has been monitored. The correlation between these behaviour and Cu distribution has been studied. This work has been supported by Spanish Ministerio de Economía y Competitividad MINECO (projects MAT 2012-31959 and MAT2015-65274-R/FEDER)

R.10.4
10:00
Authors : Thomas Cossuet,1 Joao Resende,1,2 Laetitia Rapenne,1 Odette Chaix-Pluchery,1 Carmen Jimenez,1 Ngoc Duy Nguyen,2 Gilles Renou,3 Andrew Pearson,4 Robert L. Z. Hoye,4 Estelle Appert,1 David Muñoz-Rojas,1 Jean-Luc Deschanvres,1 and Vincent Consonni.1
Affiliations : 1 Univ. Grenoble Alpes, CNRS, Grenoble INP, LMGP, F-38000 Grenoble, France 2 Université de Liège, CESAM/Q-MAT, SPIN, B-4000 Liège, Belgium 3 Univ. Grenoble Alpes, CNRS, Grenoble INP, SIMaP, F-38042 Saint-Martin d’Hères, France 4 Optoelectronics Group, Cavendish Laboratory, J.J. Thomson Avenue, University of Cambridge, Cambridge, CB3 0HE, United Kingdom

Resume : ZnO nanowire (NWs) based core-shell heterostructures have recently been integrated into self-powered UV photodetectors [1] by using wide band gap p-type semiconducting shells, such as CuSCN [2]. These devices benefit from the photovoltaic effect in the UV region to operate at zero bias. In this work, we present the fabrication of an original ZnO / CuCrO2 core-shell NW heterostructure and its integration into efficient self-powered UV photodetectors. ZnO NW arrays are grown by chemical bath deposition on ITO/glass substrates, while the CuCrO2 shell is deposited by chemical vapor deposition. A highly conformal 35 nm-thick CuCrO2 shell is deposited on the ZnO NWs. Its structural morphology is characterized by automated crystal phase and orientation mapping with precession in a transmission electron microscope as well as by energy-dispersive x-ray spectroscopy. The ZnO / CuCrO2 core-shell NW heterostructures exhibit a significant diode behavior and a high absorptance above 85% in the UV region. The UV photodetectors fabricated thereof show high UV responsivity at zero bias under low-power illumination, alongside a UV-to-visible rejection ratio around 100. The response times around 30 µs, both measured at zero bias, further establish these devices as candidates for cost-efficient, all-oxide self-powered UV photodetectors. [1] W. Tian et al. Small 13 (2017), 1701848. [2] J. Garnier et al. ACS Appl. Mater. Interfaces 7 (2015), 5820. [3] T. Cossuet et al. submitted (2018).

R.10.5
10:15
Authors : I. Dzięcielewski1, J.L. Weyher1, B. Bartosewicz2, B. Jankiewicz2, J. Krajczewski3, A. Kudelski3
Affiliations : 1) Institute of High Pressure Physics (Unipress), Polish Academy of Sciences, Warsaw, Poland 2) Military University of Technology, Warsaw, Poland 3) Chemistry Department, University of Warsaw, Poland

Resume : Surface-enhanced Raman Spectroscopy (SERS) operates on nanostructured substrates covered by plasmonic metals such as gold, silver or cooper. When Raman active organic molecules are placed near or on metal nanoparticles, the Raman intensity of scattered light is significantly amplified. The extent of SERS enhancement (EF: enhancement factor) depends on a variety of parameters such as metal nanoparticle size, shape, material, and morphology. The aim of this presentation is to show the range of applications of GaN-based platforms for trace detection and identification of biological and chemical agents using SERS technique. The method of nano-structuring of GaN surface for SERS application will be briefly described. Variety of nano-structures are formed on GaN due to the presence of dislocations, which result in formation of straight nano-pillars, bunches of nano-pillars and pits during etching. The size and density of these features can be well controlled by time, mode of etching and by selecting material with different density of defects. Correlation between the nano-structure and SERS-EF of pMBA as test molecules will be shown. From one-to-one comparison of surface morphology (SEM images) and SERS-EF mapping the privileged places for formation of hot-spots will be revealed. Examples of examination of different analytes will be shown.

R.10.6
 
WBG Oxides : Bela Pécz (HAS-MTA, Hungary)
11:00
Authors : Martin Feneberg
Affiliations : Institute of Physics, Otto-von-Guericke-University Magdeburg, Germany

Resume : Semiconducting metal oxides such as cubic In2O3, the various polytypes of Ga2O3, or rutile SnO2 have attracted much interest in recent years. High-quality bulk crystals and single-crystalline heteroepitaxial films, covering a wide range of electron concentrations, became available. This allows determining intrinsic optical properties as well as related fundamental band-structure parameters. In this talk recent achievements will be summarized. Spectroscopic ellipsometry from the mid-infrared (IR) into the vacuum-ultraviolet (VUV) spectral region is applied for determining the components of the dielectric tensor. The analysis of the IR dielectric function yields phonon frequencies and free-carrier contributions from which electron effective masses as a function of carrier density are obtained determining the non-parabolicity of the conduction bands. Many-body effects such as exciton screening, band-gap renormalization, and band filling have a strong impact on the behavior around the fundamental band gaps, a quantitative description of these properties will be presented. Finally, synchrotron-based studies in the VUV provide the transition energies related to critical points of the band structure.

R.10.7
11:30
Authors : Ildikó Cora1, Zsolt Fogarassy1, Matteo Bosi2, Francesco Mezzadri2, Francesco Boschi2, Gianluca Calestani2, Roberto Fornari2, Aleksander Re?nik3, Béla Pécz1
Affiliations : 1 MTA EK MFA Thin Film Physics Department, Budapest, Hungary; 2 IMEM-CNR, Parma, Italy; 3 Jo?ef Stefan Institute, Ljubljana, Slovenia

Resume : Ga2O3 layers were grown onto (001) ?-Al2O3 by VPE and annealed at 1000 °C for 2 and 6 hours and they were studied by TEM, X-ray diffraction and Differential Scanning Calorimetry (DSC). The in situ heating was also done in TEM in order to follow and describe the ??? structural transformation and the results were compared with the ex situ step-by-step heating measurements from 780 °C up to 820 °C. Previous XRD study on the as-deposited film showed that these films are single crystal epitaxial layers with hexagonal P63mc s.g. symmetry (? phase with partial occupation of the disordered Ga sites). Detailed TEM studies (ED, HRTEM, STEM, simulations by JEMS) revealed the real structure of this phase at the nanoscale[1]. The structure is ordered in 5-10 nm large (110)-twinned domains, and each domain is orthorhombic (Pna21 s.g. symmetry), called ?-Ga2O3. The duration of the ex situ thermal treatment at 1000 °C strongly influenced the crystallinity of the samples [2]. The research was funded by the National Research, Development and Innovation Fund (NKFIA) via the TÉT_16-1-2016-0025 project and by ARRS, Slovenia (project no. BI-HU/17-18-003 ). [1] I. Cora, F. Mezzadri, F. Boschi, M. Bosi, M. ?aplovi?ová, G. Calestani, I. Dódony, B. Pécz, R. Fornari. CrystEngComm 19:(11) 1509-1516. (2017) [2] R. Fornari, M. Pavesi, V. Montedoro, D. Klimm, F. Mezzadri, I. Cora, B. Pécz, F. Boschi, A. Parisini, A. Baraldi, C. Ferrari, E. Gombia, M. Bosi. Acta Materialia 140, 411-416. (2017)

R.10.8
11:45
Authors : E.Chikoidze*1, D. J. Rogers2, A.Perez-Tomas3, A.Fellous1, Y .Dumont1, F. H. Teherani2, V. E. Sandana2, P. Bove2 ,T Tchelidze4, S. Russell5, M. Jennings5 , C.Thon-That6
Affiliations : 1GEMaC, Université de Versailles CNRS, Université Paris-Saclay, Versailles, France 2 Nanovation, 8 route de Chevreuse, 78117 Châteaufort, France 3Catalan Institute of Nanoscience and Nanotechnology (ICN2), Barcelona, Spain 4Faculty of Exact and Natural Science, Department of Physics, Tbilisi, Georgia 5Faculty of Science, University of Warwick, Coventry CV4 7AL, U.K 6School of Mathematical and Physical Science, University of Technology Sydney, Australia

Resume : Ga2O3 is a wide band gap semiconductor which occurs in 5 different polytypes . The polytype beta-Ga2O3 , which is the stable form of Ga2O3 from room temperature up to its melting point, has already attracted attention more than half century ago due to its interesting optical and electrical properties: transparency in the deep UV and high n-type conductivity[1] . Very recently Ga2O3 has become the object of intense research as it has been shown to be of high technological interest and has given rise to successful realization of microelectronic devices such as transparent field-effect transistor, high power devices, photodetectors and photodiodes [1-4]. Nominally undoped Ga2O3 thin films were deposited on r and c--sapphire substrates using pulsed laser deposition (PLD). in molecular oxygen with a KrF excimer laser and a commercial 5N sintered Ga2O3 target. Conventional x-ray diffraction analysis for all film showed the layer to be in the beta-Ga2O3 phase[5] .The films are highly transparent in UV-VIS-NIR optical regions. We present the first time evidence of p-type conduction in the undoped beta-Ga2O3 [6]. Detailed study of transport properties for Ga2O3 on c-sapphire will be discussed in correlation with the results from X-ray photoemission spectroscopy and thermodynamic analyses of point defects. Hole conduction, established by Hall and Seebeck measurements, is consistent with findings from photoemission and cathodoluminescence spectroscopies. The radiation hardness will be discussed as well. 1.K.Matsuaki, H.Hiramatsu, K.Nomura, H.Yanagi, T.Kamiya, M.Hirano, H.Hosono, Thin films, 496,37, 2006 2M.Higashiwaki, K.sasaki, A.Kuramata, T.Masui, S.Yamakoshi, Appl.phys.lett.100, ,013504, (2012) 3. M.Zhong,Zh.Wei, X.Meng, F.Wu, J.Li, J.Alloys and compounds, 619, 572, (2015) 4. S.Nakagomi, T.Momo, S.Takahashio, Y.Kokubun, Appl.Phys.Lett, 103,072105, (2013) 5. Teherani F.H., Rogers D.J., Sandana V.E., Bove P., Ton-That C., Lem L.L.C., Chikoidze E., Neumann-Spallart M., Dumont Y., Huynh T., Phillips M.R., Chapon P., McClintock R., Razeghi M.Proc. of SPIE 10105, 10105R (2017)

R.11.3
12:00
Authors : M. Mulazzi 1,2*, F. Reichmann 3, A. Becker 3, W. Klesse 3, M. Bosi 5, A. Parisini 4, A.Bosio 4, V. Montedoro 4, R. Fornari 4,5
Affiliations : 1Humboldt-Universität zu Berlin, Institut für Physik, Newtonstr. 15, 12489 Berlin, Germany 2Leibnitz-Institut für Kristallzüchtung, Max-Born-Str. 2 12489 Berlin, Germany 3IHP, Im Technologiepark 25, 15236 Frankfurt/Oder, Germany 4University of Parma, Dept. of Mathematical, Physical and Computer Sciences, Area delle Scienze 7/A, 43124 Parma, Italy 5CNR-Institute for Electronic and Magnetic Materials, Area delle Scienze 37/A, 43124 Parma, Italy

Resume : The literature related to transparent conductive oxides (TCOs) has recently seen an exponential growth sparked by the interest in using them as high-power and opto-electronic devices with superior performance. However, only a relatively small number of publications have been dedicated to the electronic structure of TCOs, which is of paramount importance since many electrical properties are related to the width of the band gap, the effective masses and the presence of band-bending. In this contribution, photoelectron spectroscopies were applied to determine with high accuracy the electronic structure of TCOs, and hence to determine important material parameters. We investigated the electronic structure of epsilon-Ga2O3 thin films deposited on c-oriented Al2O3 substrates. UV radiation and X-rays were employed to probe the surface and volume electronic structure. The measurement of the Ga and O core levels as a function of the emission angles shows that no band-bending is present in the material, consistent with previous findings on the beta-Ga2O3, this indicating flat bands up to the surface layer. The valence band measurements showed a main peak at 4.6 eV below the Fermi level with a negative effective mass. We located the valence band maximum (VBM) at the gamma point of the Brillouin zone and determined that the forbidden gap is 4.65 eV, in good agreement with optical measurements. A weaker non-dispersive state was observed 1 eV above the VBM, which might derive from oxygen vacancies, likely originated by the surface preparation.

R.11.4
12:15
Authors : I.Gorczyca1, D. Jarosz2, S. Kret2, J.Domaga?a2, H.Teisseyre,1,2
Affiliations : 1 Institute of Physics, Polish Academy of Sciences, Al. Lotników 32/34, 02-668 Warsaw, Poland 2 Institute of High Pressure Physics, Polish Academy of Sciences, Soko?owska 29/37, 01-142 Warsaw, Poland

Resume : Cubic ZnxMg1-xO have been proposed as wide band gap semiconductors for short wavelength optoelectronic applications operating in the deep UV region. A very small value of c/a in MgO (1.55) and the high iconicity of this material results in a tendency to crystalize in rocksalt structure. By combing MBE growth and HRTEM we were able to determine conditions in which the cubic phase of ZnO and cubic ZnxMg1-xO alloys can be grown on MgO substrates. In the case of ZnxMg1-xO compounds, it was found that the maximum of the layer thickness in cubic phase strongly depends on Zn concentration, decreasing with x, which reflects the alloy phase instability. The band structures of ZnxMg1-xO alloys in the rocksalt structure are obtained by ab-initio calculations based on the Local Density Approximation (LDA) to density functional theory. The values of total energies, atomic coordinates and lattice parameters are determined by minimization of the Hellman-Feynman forces using pseudopotentials as implemented in the Vienna ab-initio Simulation Package (VASP). In the second step of calculations, the band structures were obtained by the Linear-Muffin-Tin-Orbital method in a full-potential version with a semi-empirical correction (LDA+C) for the deficiency of LDA in predicting semiconductor gaps. The calculated band gaps for ZnxMg1-xO alloys as functions of composition x are compared with the experimental data. The most interesting result of the calculations is the fact that the ZnxMg1-xO band gaps which are directly up to x equal about 0.55, whereas for higher values of Zn content the gaps are indirect.

R.11.5

Symposium organizers
Anelia KAKANAKOVALinköping University

Department of Physics, Chemistry and Biology (IFM), SE-581 83 Linköping, Sweden

anelia@ifm.liu.se
Bela PECZInstitute for Technical Physics and Materials Science

Centre for Energy Research, Hungarian Academy of Sciences (HAS-MTA), 1121 Budapest, Konkoly Thege 29-33, Hungary

pecz.bela@energia.mta.hu
Giuseppe GRECOConsiglio Nazionale delle Ricerche – Institute for Microelectronics and Microsystems (CNR-IMM)

Strada VIII, 5, 95121, Catania, Italy

giuseppe.greco@imm.cnr.it
Piotr KRUSZEWSKIInstitute of High Pressure Physics (UNIPRESS)

Polish Academy of Sciences, Sokolowska 29/37, 01-142 Warsaw Poland

kruszew@unipress.waw.pl