preview all symposia

2018 Spring Meeting

FUNCTIONAL MATERIALS

V

Epitaxial integration of dissimilar materials: challenges and fundamentals

We aim to bring together researchers who co-integrate complementary functionalities by the heteroepitaxial growth of dissimilar materials. The challenges due to the heterogeneity of materials properties will be at the heart of the symposium, with both experimental and theoretical points of view.

Scope:

Desirable physical functionalities (e.g. charge transport, photonics, ferro- or piezo-electricity, magnetism, spin-dependent effects, thermal phenomena) can be realized in well-defined crystalline materials (SiGe, III-Vs, Heusler alloys, etc.) but some also in organic materials such as molecular semiconductors. For applications as diverse as low-power optoelectronics for the Internet of Things, biosensors, energy harvesting and quantum or neuromorphic computing, it is highly promising to deeply integrate multiple functionalities into a single “hybrid” material system. Among the possible strategies to achieve integration, heteroepitaxy of dissimilar materials may be able to provide both very large scale integration of new functionalities, compatibility with existing technological platforms and hence cost reduction. However, this approach faces the challenges of materials property heterogeneity between dissimilar material groups: chemical mismatch, lattice mismatch, crystal structure and polarity, 2D/3D growth, incompatible growth kinetics, etc. As ever more ambitious heteroepitaxial systems are investigated, now is a perfect time to bring together researchers working in different hetero-material systems to explore the common theme of property integration across dissimilar materials. The symposium will span a wide range of hetero-integration strategies (e.g. III-V/Si, oxides/semiconductors, organic/inorganic, 2D materials on 3D templates). It will cover both theoretical and experimental approaches to understanding and overcoming the problems associated with each hybrid material strategy, such as interfacial effects, extended defects and chemical mixing/segregation. Experimental topics will include both synthesis and advanced characterization (e.g. atomic-scale microscopy, neutron or X-ray diffraction / reflectivity). Theoretical topics will include both ab initio property prediction and multi-scale modelling approaches to heteroepitaxy (e.g. Monte Carlo, phase-field, molecular dynamics).

Hot topics to be covered by the symposium:

  • 2D materials (Graphene, Chalcogenides, h-BN, phosphorene) interacting with 3D materials.
  • Group III-V semiconductors (arsenides, phosphides, nitrides and antimonides) on group IV semiconductors (Si, Ge, Sn or SOI).
  • Oxides (Functional perovskites, ZnO, ferroelectric, piezoelectric) on semiconductors (group III-V or group IV).
  • Organic semiconductors on conventional semiconductors (III-V or Si) or on 2D materials.
  • Integration of topological and chiral materials

Each topic will include state-of-the-art contributions on epitaxy, advanced characterization (e.g. atomic resolution microscopy) and cutting-edge theory (e.g. multi-scale modelling).

Confirmed invited speakers:

  • P. K. Petrov, (Imperial College London – UK)
  • A. Molle, (CNR – Italy)
  • N. Hine, (Warwick, - UK)
  • T. Hesdejal, (Uni. Oxford – UK)
  • R. Yoshimi, (U.Tokyo, - Japan)
  • M. Kratzer, (Montanuniversität Leoben – Austria)
  • F. Palmino, (FEMTO-ST – France)
  • G. Saint-Girons, (INL Lyon – France)
  • A. Trampert, (PDI Berlin – Germany)
  • L. Czornomaz (IBM Zurich - Switzerland)

Confirmed scientific committee members:

  • D. Jesson, Cardiff Uni. - (UK)
  • G. Mussler, Jülich – (Germany)
  • R. Namaan, Weizmann Institute – (Israel)
  • D. Vvedensky, Imperial College London – (UK)
  • S. El Kazzi, imec - (Belgium)
  • I. A. Fisher, Stuttgart Univ. - (Germany)
  • J. Fompeyrine, IBM - (Switzerland)
  • M.-C. Asensio, Synchrotron Soleil – (France)
  • N. Bertru, FOTON – (France)
  • S. Sanguinetti, University Milano Biccoca, Milan - (Italy)
  • E. Tournié, IES-Montpellier - (France)

Publication:

Selected papers will be published in a special issue of Physica Status Solidi a (Wiley).

Start atSubject View AllNum.
 
2D materials co-integration : Gavin Bell
09:10
Authors : Alessandro Molle
Affiliations : CNR-IMM, unit of Agrate Brianza, via C. Olivetti 2, I-20864 Agrate Brianza (MB), Italy

Resume : Not only the technology evolution of semiconductor nanoelectronics demands for a progressive miniaturization of the device feature size, but also emerging research materials are invoked to face new challenges concerning device multifunctionality (i.e. materials that can be operated with different coexisting functions in the same platform or chip) and societal diffusion and challenges (e.g. internet of things, green electronics). Despite silicon is quite ubiquitous in current micro- and nano-electronics, future technology nodes are to require a turning point in the choice of alternative semiconductor channels replacing conventional silicon as active block [1,2]. Compatible with the so called More Moore scaling, one approach was to make use of mobility boosters such as germanium or III-V’s in order to overcome the physical limits of silicon. Another more radical approach is to focus on new emerging device materials that imply a reduced dimensionality of the active body channels in devices still bearing the monolithic integration into the CMOS platform. On this background, an increasing consideration is given to specific candidates of the emerging two-dimensional (2D) materials family as they promise an ultimately scaled planar CMOS technology with improved electrostatics and power consumption. While graphene is limited by its semimetallic nature, other 2D members may more properly fit to scope. Here I will play attention to the case of Xenes, i.e. monoelemental nanosheets made of X atoms (X denoting several elements of the group IIIA, IVA, VA, and VIA) [3], and of transition metal dichalcogenides [4] with focus on silicene and MoS2, respectively. With the previously declared purposes, in both cases the details of the materials synthesis are of primary importance in dictating crystal quality, large-area production and large-scale uniformity. I will get through these aspects in the epitaxy of silicene on substates, then extending the discussion to the whole family of Xene, by pointing out related challenges in the manufacturability, stability, and processing. Device integration pathways will be also outlined in this respect. Unlike silicene, MoS2 nanosheets with a close control of the atomic thickness, large-scale uniformity and high conformality can be grown by means of chemical vapour deposition (CVD) on chemically inert substrates such as SiO2/Si or sapphire. Inherently, I will show that the characteristics of the nanosheet can be varied by carefully tailoring the CVD approach, for instance by comparing the sulphurization of a pre-deposited metal film with the vapor phase reaction from solid powder precursors, in order to enable a large-scale massive production. [1] “2D Materials for Nanoelectronics”, Eds. M. Houssa, A. Dimoulas, A. Molle, CRC Press, Taylor&Francis Group, 2016 Boca Raton, FL [2] H. N. Khan, et al, Nat. Electron. 1, 14 (2018). [3] A. Molle, et al., Nat. Mater. 16, 163 (2017). [4] M. Chhowalla, et al, Nat. Chem. 5, 263 (2013).

V.1.1
09:40
Authors : Hye-Jin Jin, Woo Young Yoon, and William Jo*
Affiliations : Department of Physics and New and Renewable Energy Research Center (NREC), Ewha Womans University, Seoul, 03760, Korea

Resume : Atomically thin transition metal dichalcogenides (TMDC) are potential materials for next generation applications for optoelectronics or memory devices. Especially, electrical properties of TMDC can be varied depending on certain oxide substrate. Among them, semiconducting TMDC can show photovoltaic performance in the heterostructure. Here, we utilized n-type MoS2 and p-type WSe2 as semiconducting materials. We used epitaxial PbTiO3 thin films grown by a pulsed laser deposition method. Here, we used conductive-atomic force microscopy (C-AFM) to obtain charge transport in the atomic sheets and photocurrent was obtained by using C-AFM with light illumination. In addition, change of conducting states was observed with different polarization states of PbTiO3 thin films. Especially, resistive switching was obtained at the atomic sheets assisted by ferroelectric thin films. Bound charges from ferroelectric polarization gives variation of free carriers in the atomic sheets resulted from Coulomb interaction. Therefore, contribution of each carrier from external stimulation at the atomic sheets can be analyzed. To get exact contribution of photo-induced carriers, we used Kelvin probe force microscopy to investigate surface potential and surface photovoltage measurement was also conducted. From this point of view, photovoltaic non-volatile memory device can be suggested as a new multi-functional electronic device and we suggest the vertical device consisting of 2-dimensional materials and ferroelectrics.

V.1.2
10:30
Authors : Nicholas D.M. Hine [1], Gabriel C. Constantinescu [2], Natalie Teutsch [1], Paul Nguyen [3], David Cobden [3], Xiaodong Xu [3], Alexei Barinov [4], Neil R. Wilson [1]
Affiliations : [1] Department of Physics, University of Warwick, Coventry CV4 7AL, U.K.; [2] Theory of Condensed Matter Group, Cavendish Laboratory, University of Cambridge, 19 JJ Thomson Avenue, Cambridge CB3 0HE, U.K.; [3] Department of Physics, University of Washington, Seattle, WA 98195, USA.; [4] Elettra-Sincrotrone Trieste S.C.p.A., Basovizza, 34149 Trieste, Italy.;

Resume : The properties of layered material heterostructures are crucial to the success of devices based upon the novel capabilities of 2D materials. Theoretical studies of such heterostructures have been limited by the large system sizes required to study incommensurate or rotated interfaces. We have employed high-accuracy linear-scaling DFT calculations utilising non-local van-der-Waals functionals to explore large-scale models of a range of heterostructures of interest to device applications. I will present results for heterostructures including MoS2/MoSe2, MoSe2/WSe2, TMDC/Graphene, and hBN/Phosphorene in a range of geometries. Band-alignments and modifications of the electronic structure upon stacking and rotation of different monolayers can be obtained by unfolding the supercell spectral function into the primitive cells. Changes in spectral weight and band-structure between the monolayers and heterostructured interfaces show how lattice mismatch (MoS2/MoSe2) or spacer layers (Phosphorene/hBN/Phosphorene) allows the component monolayers to retain more independence in heterostructures than in homo-stacks. Finally, applying electric fields allows the behaviour of gated structures to be predicted and explained.

V.1.3
11:00
Authors : Stefania Sandoval1, Elzbieta Pach2, Belén Ballesteros2, Gerard Tobias1
Affiliations : 1-Institut de Ciència de Materials de Barcelona (ICMAB-CSIC), Campus de la UAB, 08193 Bellaterra, Barcelona, Spain 2-Catalan Institute of Nanoscience and Nanotechnology (ICN2), CSIC and The Barcelona Institute of Science and Technology, Campus de la UAB, 08193, Bellaterra, Barcelona, Spain.

Resume : The formation of low dimensional systems is a powerful tool for tuning the physical properties of materials. The unique characteristics of two-dimensional (2D) nanomaterials make them highly attractive for a wide range of applications. A variety of approaches have been explored to synthesize and control their size, morphology and crystal structure. Template-directed synthesis represents a convenient and versatile route and both organic and inorganic-based materials are usually employed for this purpose. Since carbon nanotubes (CNTs) can have a wide range of diameters and lengths, their inner hollow cavity can be filled with a large variety of compounds. Furthermore, the presence of CNTs might create synergistic effects with the filling material, resulting in unique properties and superior performance. Despite their interest, the number of reports on single-walled inorganic nanotubes is limited because the formation of their multi-walled counter parts is favored during the synthesis. Here we report on the synthesis of individual layers of several 2D van der Waals solids, namely CeI3, CeCl3, TbCl3 and ZnI2[1], and PbI2[2] using CNTs as directing agents. Recent theoretical studies reveal that these heterostructures, consisting of single-layers and a carbon host can substantially enhance the visible light response, suggesting potential applications in novel 2D optoelectronics and photovoltaics[3]. Once confined, the metal halides can adopt different structures including single-layered metal halide nanotubes, which formation is greatly enhanced by increasing the temperature of synthesis[1]. This opens up a new strategy for the isolation of individual layers of a wide variety of metal halides, a family of 2D materials that has been barely explored. [1] Sandoval S., Pach E., Ballesteros B., Tobias, G. Carbon 123, 129 (2017). [2] Cabana L., Ballesteros B., Batista E., et al. Adv. Mater. 26, 2016 (2014) [3] Zhou M., Duan W., Chen Y., Du A. Nanoscale 7, 168 (2015).

V.1.4
11:15
Authors : Donghan Shin, Massimo V. Fischetti* , Alexander A. Demkov
Affiliations : Department of Physics, The University of Texas at Austin, Austin, TX, USA; *Department of Materials Science and Engineering, The University of Texas at Dallas, Richardson, TX, USA

Resume : Doping graphene layers presents a difficult practical and fundamental problem. We consider theoretically, the possibility of electrostatic doping of graphene by the intrinsic field of a polar substrate. By way of example, we perform density functional theory calculations for a graphene sheet placed on the (111)-oriented perovskite SrTiO3 surface. We find that the Fermi surface moves well below the Dirac point of graphene, resulting simultaneously in a fast conducting channel in graphene, and a slow (large-effective-mass) channel at the oxide surface. Additionally, electrostatic gating may open a way to explore peculiar states that, through the “no-crossing”, represent a hybrid carrier that exists simultaneously in both materials. . Moreover, further theoretical analysis suggests that coupling of electrons in graphene to interfacial hybrid plasmon/optical modes may result in effective attractive electron-electron interaction that, in turn, could result in electron pairing and lead to superconductivity.

V.1.5
11:30
Authors : I. Shlyakhov (a), J. Chai (b), M. Yang (b), S. J. Wang (b), V. V. Afanas’ev (a), M. Houssa (a), A. Stesmans (a)
Affiliations : (a) Laboratory of Semiconductor Physics, Department of Physics and Astronomy, University of Leuven, Celestijnenlaan 200D, B-3001 Leuven, Belgium (b) Institute of Materials Research and Engineering (IMRE), A*STAR (Agency for Science, Technology and Research), 3 Research Link, Singapore 117602

Resume : Stacking few-monolayer two-dimensional (2D) semiconductor with an insulator is critical to enable electrostatic control of electron transport in 2D film. However, difference in effective work-function (EWF) between the 2D “channel” and the control gate may give rise to built-in potentials which disturb electron motion. This mandates thorough examination of the electrostatics at the interfaces of 2D semiconductors as affected by the insulating material. In this work we used internal photoemission of electrons (IPE) from 1 monolayer MoS2 films synthesized on top of SiO2 or Al2O3 to determine energy of the semiconductor valence band (VB) relative to the reference level of the insulator conduction band (CB). This allows us to compare the VB top energy in MoS2 to that of the (100)Si substrate crystal at the interface with the same insulator. Despite the CB in Al2O3 found to be ≈1 eV below that in SiO2 as measured relative to the Si VB edge, we observe nearly no shift of the spectral threshold of IPE from the MoS2 VB. This observation indicates violation of electroneutrality at the MoS2/Al2O3 interface causing increase of EWF by ≈1 eV. This conclusion is supported by much weaker field dependence of the IPE threshold at the MoS2/Al2O3 interface as compared to the MoS2/SiO2 one suggesting presence of negative charges and/or interface dipole. Therefore, the commonly accepted Schottky-Mott picture appears to be not appropriate to describe the band alignment at 2D/insulator interfaces.

V.1.6
11:45
Authors : Virginia Carnevali [1][2], Stefano Marcantoni [1][3], Maria Peressi [1][2]
Affiliations : [1] Department of Physics, University of Trieste, via A. Valerio 2, 34127 Trieste, Italy - [2] CNR-IOM DEMOCRITOS National Simulation Center, via Bonomea 265, 34136 Trieste, Italy - [3] National Institute for Nuclear Physics (INFN), Trieste Section, via A. Valerio 2, 34127 Trieste, Italy

Resume : Two-dimensional materials, such as graphene, epitaxially grown on surfaces can form a variety of moire superstructures due to lattice parameter and/or symmetry mismatch. We give a very general formulation of the problem in terms of two generic 2D Bravais lattices and propose a systematic procedure to determine their coincidence network for a given misorientation. The procedure allows to identify the minimum size simulation cell to be used, for instance, in atomistic simulations. We discuss the application to the specific case of a hexagonal lattice over a square lattice and compare our findings with the experimental evidence of graphene grown on a Ni(100) surface [1]. [1] Z. Zou, V. Carnevali, M. Jugovac, L.L. Patera, A. Sala, M. Panighel, C. Cepek, G. Soldano, M.M. Mariscal, M. Peressi, G. Comelli, C. Africh, Graphene on nickel (100) micrograins: Modulating the interface interaction by extended moiré superstructures, Carbon (2018), doi: 10.1016/j.carbon.2018.01.010.

V.1.7
 
Oxides heterointegration : Clément Merckling
14:00
Authors : Bin Zou1, Clementine Walker1, Kai Wang1, Vasiliki Tileli1, Olena Shaforost1, Nicholas M. Harrison2, Norbert Klein1, Neil M. Alford1 and Peter K. Petrov1
Affiliations : 1 Department of Materials, Imperial College London, Prince Consort Road, London, SW7 2AZ, UK; 2 Department of Chemistry, Imperial College London, Imperial College Road, London, SW7 2AZ, UK

Resume : The transfer process of graphene onto the surface of ferroelectric thin films is well known. However, for many devices, is required high quality oxide thin films to be grown on the surface of graphene. This step is not understood. It is not clear why the oxide should adopt the epitaxy of the underlying oxide layer when it is deposited on graphene where there is no lattice match. To date there has been no explanation or suggestion of mechanisms which clarify this step. Here we present a mechanism, supported by first principles simulation and structural character-isation results, for the growth of oxide ferroelectric thin films on graphene. We describe the growth of epitaxial SrTiO3 (STO) thin films on a graphene and show that local defects in the graphene layer (e.g. grain boundaries) act as bridge-pillar spots that enable the epitaxial growth of STO thin films on the surface of the graphene layer. In this study, SrTiO3 layers with thicknesses varying from 10nm to 100 nm were deposited at a temperature of 850 oC, and oxygen pressure ranging from 0.01 mTorr to 300 mTorr using pulsed laser deposition (PLD). The two-dimensional growth of the STO layer was monitored in-situ by RHEED. To measure its electrical properties, the STO film was covered with a 50 nm Au layer using dc magnetron sputtering; and MIM capacitor structures were formed with pho-to-lithography followed by ion-milling. The surface of the STO film was analyzed using AFM, while its crystal structure was examined by x-ray, SEM and TEM. Results of the electrical measurements carried out within a tempera-ture range of 77K up to 100oC will be presented. The suggested mechanism for epitaxial growth of oxides on graphene, offers new directions to exploit the development of ferroelectric/graphene multilayer structures and devices.

V.2.1
14:30
Authors : J. M. Vila-Fungueiriño1, R. Bachelet2, G. Saint-Girons2, C. Magen, A. Gomez4, J. Gazquez4, N. Mestres4, F. Rivadulla5, M. Gich4, A. Carretero-Genevrier1*.
Affiliations : 1Institut d´Électronique et des Systèmes (IES) UMR 5214, Bâtiment 5, 860 rue Saint Priest, 34090 Montpellier, France 2 Institut des Nanotechnologies de Lyon (INL) CNRS- Ecole Centrale de Lyon, 36 avenue Guy de Collongue, 69134 Ecully, France 5 Centro de Investigación en Química Biológica y Materiales Moleculares (CIQUS), Universidad de Santiago de Compostela, 15782-Santiago de Compostela, Spain 3Institut Laue-Langevin, 6 rue Jules Horowitz, BP 156, 38042 Grenoble Cedex 9, France 4Institut de Ciència de Materials de Barcelona ICMAB, Consejo Superior de Investigaciones Científicas CSIC, Campus UAB 08193 Bellaterra, Catalonia, Spain

Resume : The high-tech impact of combining silicon substrate with the properties of functional oxides is enormous given its relevant role in the development of novel efficient devices. However, the precise control of interfaces and crystallization mechanisms at the nanoscale of dissimilar materials need to be further developed. As an example, the integration of high quality epitaxial oxide films and nanostructures on silicon as hybrid structures rest extremely challenging because these materials are structurally and thermally different. This work describes promising strategies used to accommodate advanced oxide nanostructures and thin films on silicon substrate via chemical solution deposition approach. Divers examples will be presented separated in two different approaches i.e: (i) perovskite oxides with enhanced physical properties performed by combining chemical and physical techniques, such as epitaxial BiFeO3, BaTiO3 or La0.7Sr0.3MnO3 nanostructured thin films on silicon using the combination of soft chemistry and molecular beam epitaxy [1,2], and (ii) oxide materials entirely performed by soft chemistry, such as nanostructured piezoelectric quartz thin films on silicon [3] or ferroelectric oxide nanowires thin films epitaxially grown on silicon [4] As a conclusion, this presentation will cover cutting-edge strategies based on the potential of combining epitaxial growth and chemical solution deposition to develop functional oxide nanomaterials on silicon with novel structures and improved physical properties. [1] Electric and Mechanical Switching of Ferroelectric and Resistive States in Semiconducting BaTiO3–δ Films on Silicon. A. Gómez, J. M. Vila‐Fungueiriño, R. Moalla, G. Saint‐Girons, J. Gázquez, M. Varela, R. Bachelet, M. Gich, F. Rivadulla and A. Carretero‐Genevrier - Small, (2017) [2] Integration of functional complex oxide nanomaterials on silicon J. Vila-Fungueirio, R. Bachelet, G. Saint-Girons, M. Gendry, M. Gich, J. Gazquez, E. Ferain, F. Rivadulla, J. Rodriguez-Carvajal, N. Mestres, A. Carretero-Genevrier Frontiers in Physics 3, 38 (2015) [3] Soft chemistry based routes to epitaxial A-quartz thin films with tunables textures A.Carretero-Genevrier, M.Gich, L.Picas, J.Gazquez, , D.Grosso, C.Boissiere, J.Rodriguez-Carvajal, and Clement Sanchez. Science. Vol 340. Pp 827-831 (2013) [4] Direct Monolithic Integration of Vertical Single Crystalline Octahedral Molecular Sieve Nanowires on Silicon. A. Carretero-Genevrier, J. Oro-Sole, J. Gazquez, C. Magn, L. Miranda, T. Puig, X. Obradors, E. Ferain, C. Sanchez, J. Rodriguez-Carvajal, N. Mestres. Chemistry of Materials 26 1019 (2014)

V.2.2
14:45
Authors : A.Quattropani, T.Fix, A.Slaoui (1) D.Stoeffler, J.L.Rehspringer, G.Schmerber, M.Lenertz, S.Colis, G.Versini, M.Rastei, B.Kundys, A.Dinia (2)
Affiliations : (1) Université de Strasbourg, CNRS, ICube Laboratory, 67037 Strasbourg, France (2) Université de Strasbourg, CNRS, Institut de Physique et Chimie des Matériaux de Strasbourg (IPCMS), F-67034 Strasbourg, France

Resume : Inorganic Perovskites based on Ferroelectric oxide (FEPO) materials can be of great interest to several energy applications including photovoltaics. When compared to hybrid perovskites, FEPO cells can benefit of strong reproducibility and high stability. Furthermore, there is no need for a p-n junction as in conventional photovoltaic devices since the electric polarization from ferroelectricity is responsible for electron-hole separation. Yet, few work has concerned FEPO based solar cells. The main challenges are developing FEPO materials with high absorption with the solar spectrum, an appropriate bandgap and a well ordered structure. In this work, we report on the structural, optical and electrical properties of Bi2FeCrO6 (BFCO) oxide layers by the pulsed laser deposition (PLD) technique. High quality epitaxial growth and phase-pure films are demonstrated by X-ray diffraction. We have investigated the variation of parameters such as the bandgap versus the growth conditions, and demonstrated that it can be tuned from 1.9 to 2.6 eV. Modeling of the structure has confirmed our experimental results [1]. The ferroelectric properties were studied using the piezoresponse force microscopy (PFM) technique. Finally, test devices based of BFCO were fabricated and their photovoltaic properties will be presented. References: [1] A.Quattropani , D.Stoeffler, T.Fix, G.Schmerber, M.Lenertz,, G.Versini ,J.L.Rehspringer, A Slaoui, A.Dinia and S.Colis, DOI: 10.1021/acs.jpcc.7b10622

V.2.3
15:00
Authors : Florian Gellé, Roxana Chirita, Gilles Versini, Sophie Barre, Corinne Ulhaq-Bouillet, Aziz Dinia, Silviu Colis
Affiliations : Institut de Physique et de Chimie des Matériaux de Strasbourg (UMR 7504 CNRS) et Université de Strasbourg 23 rue du Loess, BP 43, 67034, STRASBOURG Cedex 2, France

Resume : The substituted manganite perovskite La2/3Sr1/3MnO3 (LMSO) is an interesting material for spintronic devices due to its ferromagnetic properties at room temperature and its half-metallic character suggesting a strong spin polarization at the Fermi level. It is characterized by a small magnetic anisotropy, a low coercive field and a low resistivity. All these properties recommend this system to be used as an electrode in magnetic tunnel junctions that can be further integrated in spintronic devices. However, as already pointed out by previous studies, the transport and magnetic properties are strongly correlated to the quality of the magnetic/non-magnetic interfaces. It was suggested that the interfaces should be controlled at the atomic scale as this was shown in the case of the Fe/MgO system. The present work is focused on the growth, structural, morphological and magnetic properties of LSMO/SrTiO3/LSMO heterostructures. The samples are deposited by pulsed laser deposition on atomically flat TiO2-terminated SrTiO3(100) substrates and the growth is monitored by RHEED over the whole deposition process. The intensity oscillation of the RHEED specular spot show a layer-by-layer growth for the whole stack. X-ray diffraction and scanning transmission electron microscopy observations indicate clearly that the samples are single crystalline and that the interfaces are flat at atomic level and exempt of interdiffusion. Room temperature magnetic measurements show a sharp two step magnetization reversal corresponding to the two LSMO layers. Along with the structural characterization, these measurements let us think that magnetic tunnel junctions with large magnetoresistance ratios are expected paving thus the way for stable full oxide sprintronic devices.

V.2.5
15:15
Authors : Alexander A. Demkov, Kristy J. Kormondy, K. D. Fredrickson, E. Ortmann and A. Hamze
Affiliations : Department of Physics, The University of Texas, Austin, Texas, USA

Resume : A recent discovery of growth methods that allow for growing transition metal oxides or TMOs directly on Si, created revolutionary opportunities in silicon photonics, a hybrid technology combining semiconductor logic with optical information technologies. I will discuss the recent progress in development and integration of nonlinear optical materials that will enable combining semiconductor logic with fast broadband optical. This combination advances the nonlinear optical materials for defense applications and telecom industries, where the benefits of reliability, smaller size and weight, and lower cost of ownership are compelling. In particular, neurocomputing may benefit from this technology. A typical neurocomputer is a network of simple artificial neurons that process information in parallel. The salient features of this paradigm, its massive connectivity and parallelism, are most naturally realized in optics, where analog inner products and simple point nonlinearities are precisely the computations needed. Optics and neural networks present an ideal match of requirements and capabilities. I will briefly discuss possible opportunities in neuromorphic computing created by integration of TMOs with silicon.

V.2.4
16:15
Authors : G. Saint-Girons1, R. Bachelet1, M. Bouras1, D. Han1, J. Penuelas1, G. Grenet1, P. Regreny1, S. Cueff1, N. Chauvin2, L. Largeau3
Affiliations : 1INL-UMR 5270/CNRS – ECL, 36 av. Guy de Collongue 69134 Ecully, France 2INL-UMR5270/CNRS – INSA Lyon, 7 av. Jean Capelle 69100 Villeurbanne, France 3 C2N-CNRS – site LPN, route de Nozay 91460 Marcoussis, France

Resume : The crystal structure of perovskite oxides (general formula ABO3) can host an impressive variety of cations without being significantly modified. In addition, this crystal structure is very flexible against insertion of native or foreign atomic planes, as typically exemplified by the stability of oxide based Ruddlesden-Popper homologous series. These specificities confer on this material class unparalleled flexibility for functional properties engineering, and molecular beam epitaxy offers unrivalled growth control capability to take the best advantage of this flexibility. In this context, we will first give a global overview of the different levers to engineer the functional properties of perovskite oxides. We will then show how molecular beam epitaxy enables implementing specific growth strategies to improve the structural quality of SrTiO3 epitaxial layers grown on Si and GaAs, enabling the integration of perovskite oxides on semiconductor platforms. In the end, we will show how epitaxial structuration down to the atomic layer level, allowed by molecular beam epitaxy, enables for tuning the optical response of perovskite oxides, leading to the emergence of promising properties such as hyperbolicity in the optical domain.

V.2.6
16:45
Authors : Volodymyr Khranovskyy1*, Ivan Shtepliuk1, Mohammed Metwaly Gomha1,2, Mostafa Boshta2, Gholam Reza Yazdi1, Ioannis Tsiaoussis3 and Rositza Yakimova1
Affiliations : 1Department of Physics, Chemistry, and Biology (IFM), Linköping University, 583 81, Linköping, Sweden 2Solid State Physics Dept., National research Centre, El-Behooth st., 12311 Dokki, Giza – Egypt 3Aristotle University of Thessaloniki, 54621, Thessaloniki, Greece

Resume : Integration of the semiconductors on the nanoscale level is a modern challenge for the present materials science. This became crucial after invasion of two-dimensional (2D) materials and need for design of artificial 3D crystals or vdW heterostructures (2D HEX). The presently dominating approach for 2D HEX fabrication is assembling of separate flakes into a stack. While the highly desirable direct growth of 2D HEX can be realized via the van der Waals epitaxy through accurate growth parameters tuning and proper substrate choice. Graphene (Gr), being a dangling bonds free surface can serve as appropriate substrates for vdW epitaxy. We have studied the conditions for epitaxy of thin metal oxide films - ZnO, Ga2O3 - on Gr/SiC substrate templates by MOCVD and spray pyrolysis. The samples were studied by HRTEM, HRXRD, SEM and AFM methods; it is revealed that despite the low growth temperature and large lattice mismatch, the films deposited possess advanced crystal properties (i. e. are strain-free, with no in-plane epitaxial relationship and are weakly attached to the substrate). An unusually high ultraviolet and visible photoluminescence were observed from the ZnO/Gr/SiC heterostructures in contrast to identical samples on conventional substrates. The phenomena observed are explained with the graphene role as a dangling bond free substrate, providing conditions for vdW epitaxy of metal oxides and eventually leading to novel heterostructures with added functionality.

V.2.7
 
Poster Session : C. Cornet, G. Bell, C. Merckling
17:00
Authors : Sang Hwa Lee,1, Sung-Ho Shin,2, Morten Madsen,3 Kuniharu Takei,4 Junghyo Nah,2,*and Min Hyung Lee,1,
Affiliations : 1Department of Applied Chemistry, Kyung Hee University, Yongin, Gyeonggi 17104, Korea. 2Department of Electrical Engineering, Chungnam National University, Daejeon 34134, Korea. 3NanoSYD, University of Southern Denmark, Alsion 2, 6400-Sønderborg, Denmark. 4Department of Physics and Electronics, Osaka Prefecture University, Sakai, 599-8531 Osaka, Japan.

Resume : The epitaxial layer transfer process was introduced to integrate high-quality and ultrathin III-V compound semiconductor layers on any substrate. However, this technique has been limited to fabricate sub-micron nanoribbons due to the diffraction limit of photolithography. In order to overcome this limitation and scale down its width to sub-50nm, we need either costly short wavelength lithography system or non-optical patterning method. In this work, high-quality III-V compound semiconductor nanowires were fabricated and integrate on a Si/SiO2 substrate by a soft-lithography top-down approach and an epitaxial layer transfer process, using MBE-grown ultrathin InAs as a source wafer. The width of the InAs nanowires is controlled by using solvent-assisted nanoscale embossing (SANE), descumming, and etching processes. By optimizing these processes, the NWs with their width below 50 nm were readily obtained. The InAs NWFETs prepared by our method demonstrate peak electron mobility of ~1600 cm2/Vs, indicating negligible material degradation during SANE process.

V.7.1
17:00
Authors : T. Xu 1, A. Díaz Álvarez 2, W. Wei 2, D. Eschimese 2, O. Lancry 3, B. Wei 1, J. F. Lampin 2, E. Pallecchi 2, D. Vignaud 2, B. Grandidier2.
Affiliations : 1. Key Laboratory of Advanced Display and System Application, Shanghai University, 200072 Shanghai, China; 2. Univ. Lille, CNRS, Centrale Lille, ISEN, Univ. Valenciennes, UMR 8520-IEMN, 59000 Lille, France; 3. HORIBA Jobin Yvon SAS, Raman Division, 59650 Villeneuve d’Ascq, France.

Resume : Understanding the fundamental properties of graphene when its topography is patterned with the use of a compliant substrate is essential to improve the performances of graphene sensors. In this work, we suspend a graphene monolayer on SiO2 nanopillar arrays to form a puckered graphene-on-lattice and investigate the strain and electrical transport by combining Raman spectroscopy with nanometre-scale characterization tools such as tip enhanced-Raman spectroscopy (TERS), infrared near-field microscopy (s-SNOM) and four-point probe transport measurement with scanning tunnelling microscopy (STM). Despite a nonuniform strain in the graphene-on-lattice, the nanoscale investigation reveals that the resistivity is governed by a thermally activated transport and not the strain. We show that the high thermal activation energy results from a low charge carrier density and a periodic change of the chemical potential induced by the interaction of the graphene monolayer with the nanopillars, making the use of graphene-on-lattice attractive to further enhance the electronic response of future graphene sensors.

V.7.2
17:00
Authors : Mirwaiz Rahaman, Pallab Banerji
Affiliations : Materials Science Centre IIT Kharagpur

Resume : Indium Arsenide nanowires (NWs) were grown on Silicon (100) substrate using Silver (Ag) nano particles as catalyst in atmospheric pressure Metal-Organic Chemical Vapor Deposition (MOCVD) technique. Ag nanoparticles were prepared by reduction of AgNO3 with monoethanolamine in aqueous solution. NWs were grown at three different temperatures for a fixed value of III/V ratio to observe the temperature dependence. At low temperature agglomeration of species occurs. At high temperature both density and length of NWs decrease. Substrate temperature has a direct effect on effective adatom’s diffusion length (λa) and mobility on the substrate surface. At the higher temperature end, λa is desorption limited and it increases with lowering the temperature as the conventional diffusion length of a single adatom. At low temperature more nucleation occurs at substrate surface and eventually surface density of islands increases. When λa is surface nucleation limited, adatoms are trapped by surface nucleuses and cannot reach the wire base which results a reduction in adatom’s diffusion length. So, λa has a maximum value at a particular temperature which is near about 550 ˚C in our case. Morphology and crystal structure has been investigated by Scanning Electron Microscopy (SEM) and High Resolution Transmission Electron Microscopy (HR-TEM). Grown NWs have pure zinc blend crystal phase with stacking faults. Further Raman Spectroscopy has been done to exactly determine the existence of InAs nano crystal on Si substrate. Down shifting of Transverse and Longitudinal optical (TO & LO) phonon peaks along width broadening w.r.t. InAs bulk crystal’s phonon peak, were observed. Inconclusively those non idealities of Raman Peaks are mainly caused by crystal defects.

V.7.3
17:00
Authors : Hyo Jin Kim*, Hyun Haeng Lee, Seokjin Kang, Seong Min Kim
Affiliations : Photonic Energy Research Center, Korea Photonics Technology Institute

Resume : We have investigated the properties of GaAs single solar cell grown on Si substrates with directly grown GaAs buffers which has been improved by the thermal cycling annealing method. In this study, the GaAs buffer was directly grown on Si without the growth of Ge and graded SiGe layers. In case of directly grown GaAs buffer, the formation of GaAs seed grown at low temperature was important because it related on lateral direction growth to alleviate the lattice mismatch between Si substrates and GaAs. As the V/III ratio and growth rates of GaAs seed were low and increased, the quality of GaAs buffer was well improved. After the growth of GaAs seed, the GaAs buffer was grown with TCA method. As the period of TCA was increased, the quality of GaAs buffer was improved. The full width half maximum (FWHM) of double X-ray diffraction (DXRD) and dislocation of GaAs buffer on the optimal condition were 102 arcsec and about 1 x 15 cm2, respectively. Finally, the efficiency of the GaAs single solar cell was grown on the optimal GaAs buffer was 15.7 %. This result was 69 % compared to it of the same solar cell structure grown on GaAs substrate.

V.7.4
17:00
Authors : C. Sen, O. Mohammadmoradi, I. B. Misirlioglu
Affiliations : Faculty of Engineering and Natural Sciences, Sabancı University, Orhanlı/Tuzla 34956 Istanbul, Turkey; Faculty of Engineering and Natural Sciences, Sabancı University, Orhanlı/Tuzla 34956 Istanbul, Turkey; Faculty of Engineering and Natural Sciences, Sabancı University, Orhanlı/Tuzla 34956 Istanbul, Turkey, Integrated Manufacturing Technologies Research and Application Center, Sabanci University, Tuzla, 34956 Istanbul, Turkey, Sabancı University Nanotechnology Application Center, Orhanlı/Tuzla 34956 Istanbul, Turkey

Resume : Electric field control of magnetization allows further miniaturization of integrated circuits relying on functional layers for binary bit processing and data storage as it eliminates the need for bulky systems such as solenoids to induce magnetic fields. Tailoring magnetoelectric coupling in bulk of multiferroic thin films and piezoelectric strain control of spin orientation in layers with magnetic ordering have been two approaches that were investigated extensively. One other phenomenon, namely spin-dependent screening has been studied mostly from the point of spin-selective tunnel junctions. Here, we analyze the spin-dependent screening of ferroelectric polarization in a ferroelectric film interfacing ferromagnetic electrodes. We develop a formalism using a thermodynamic approach combined with electrostatics that takes into account the spin-degree of freedom of carriers in the magnetic electrode. The competition between the electrostatic effects and the mean-field exchange between spins in the magnetic electrodes gives rise to various degrees of screening of the ferroelectric polarization. We show that the density of states for spin subbands can vary strongly near the interfaces due to the competition between the magnetic and electrostatic energies. The carrier and spin population near the ferroelectric/ferromagnetic interface is also a strong function of the polarization profile across the ferroelectric thin film, a point overlooked in previous reports.

V.7.6
17:00
Authors : Jong-Gul Yoon and Min Jeong Kim
Affiliations : Department of Physics and Electronic Materials, University of Suwon, Korea

Resume : VO2 is an archetypal correlated oxide system and undergoes a temperature driven metal-insulator transition (MIT) near 340 K with a concomitant change in crystal symmetry. Resistivity of the system changes 3 to 5 orders of magnitude at the phase transition and transmittance of far infrared region decreases significantly at the metallic phase, which can be used in various applications such as metal-insulator-transition devices and smart window. A fundamental understanding of the metallic phase and its role in triggering the MIT is critical to engineering devices based on the MIT in VO2 and related materials. In this work, we deposit epitaxial VO2 films by aerosol-assisted chemical vapor deposition on sapphire substrates of different surface orientations, C (0001), M (101 ̅0) and A (112 ̅0) planes. X-ray diffraction and transmission electron microscopy results show high structural quality of the films. Depending on the substrate orientation, VO2 films are grown with (020)/(002), (2 ̅31)/(202), and (200)/(2 ̅11) planes of VO2 being parallel to the substrate surfaces of C-, M-, and A-sapphires, respectively. We expect that the films are subject to either tensile or compressive strain induced by substrate due to the structural difference between VO2 and the substrates. The temperature dependencies of the resistivity of the films are also different depending on the film orientations. We discuss the effect of strain on MIT of VO2 film grown on the different orientations of sapphire substrates. Also, Ruthenium doping effects on the structural and electrical properties of VO2 will be discussed in conjunction with MIT of the system.

V.7.7
17:00
Authors : Jong-Gul Yoon and Shinwon Kang
Affiliations : Department of Physics and Electronic Materials, University of Suwon, Korea

Resume : BiFeO3 (BFO) is a well-known room temperature multiferroic material and shows large polarization. Recent reports on strain-induced tetragonal-like (T-like) structure of BFO film on LaAlO3 (LAO) substrate attracted much attention due to its extraordinary properties of giant polarization and domain structures. However, the origin of the structural change to T-like phase is not understood clearly because of the observation of T-like phase in small-strained films grown on SrTiO3 (STO). In this work, T-like phase of BFO films are grown on c-sapphire substrate at low temperature of 440 C by aerosol-assisted chemical deposition. Cocktailed precursor solutions of Bi and Fe source materials are controlled to grow stoichiomatric BFO films by changing their molar ratios of the sources. The structure of BFO films grown on LAO and STO by the same deposition conditions result in T-like and usual rhombohedra structure, respectively, confirming that substrates can affect the structure of BFO films. We report effects of deposition condition of vapor supply on the structure of Bi-Fe-O complex films. We also report the orientation of sapphire substrates which affect the growth direction of BFO films as well as their structures.

V.7.8
17:00
Authors : Yanyong Li,Shenghuang Lin, Shu ping Lau
Affiliations : The department of Applied Physics The Hong Kong Polytechnic University

Resume : Black phosphorus (BP) is a promising 2D semiconductor with an intrinsic direct bandgap and considerable carrier mobility attracting lots of interests.[1-4] The thickness dependent intrinsic bandgap of BP ranges from 0.3 eV in bulk to 2.0 eV in monolayer analogous, which is a good candidate for photoelectric devices.[5, 6] Moreover, it is reported that the carrier mobility of BP transistor reaches up to 1000 cm2/V.s at room temperature, which is much higher than the other 2D counterparts suggesting that BP is competent for the future integrated circuits.[1, 7, 8] More importantly, the puckered honeycomb atomic structure leads to more profound properties in BP, such as the zigzag direction is more preferential for electric conductance and strain engineering.[8, 9] It is predicted that the giant anisotropy of BP can be tuned by external voltage and strain.[10, 11] However, the weak ambient stability is the Achilles’ Heel, naked BP suffers from the strong hydrophile and degrades quickly in ambient environment for several hours due to the adsorption of oxygen and moisture. This feature irritates lots of physical or chemical methods to isolate the BP from the oxygen or moisture, such as Al2O3 capping[12], PxOy capping[13] or chemicals passivation[14]. Among these methods, poly(methyl methacrylate) (PMMA) is a kind of common organic material and convenient to encapsulate the BP for protection. Our experiments prove that PMMA encapsulation can not only slow the degradation but also modify the electrical transport property of BP devices effectively. Herein, we investigated the transport property of BP field effect transistor modified by the PMMA encapsulation comprehensively. It was found that PMMA encapsulation resulted in electron doping in BP. The charge neutral point moved to the negative after PMMA encapsulation. Moreover, the on/off ratio had been increased by about six times. These significant changes are attributed to the surface charge transfer induced by fixed positive charge in the interface between the PMMA layer and BP. Since cross-linking the PMMA film by electron beam irradiation with high dose exposure (over 20000 μC/cm2) can create more free radicals, it was found that more obvious charge neutral point negative motion was observed. The ambipolar BP field effect transistor was dominated by the electron conductance in the absent of gate voltage. Through analyzing the I-V characteristics of BP field effect transistors encapsulated by the cross-linked PMMA, we discovered that the PMMA encapsulation can effectively reduce the Schottky barrier height between the BP and electrodes. Based on the heavy n-type doping by cross-linked PMMA encapsulation, BP heterostructure with half channel covered with PMMA was fabricated. PN junction based on BP shows obvious bipolar switching behavior under various applied gate voltages suggesting a promising application in future logic circuit. Reference [1] L. Li, Y. Yu, G. J. Ye, Q. Ge, X. Ou, H. Wu, D. Feng, X. H. Chen, Y. Zhang, Nat. Nanotechnol. 2014, 9, 372. [2] S. Zhang, J. Yang, R. Xu, FanWang, W. Li, M. Ghufran, Y.-W. Zhang, Z. Yu, G. Zhang, Q. Qin, Y. Lu, ACS Nano 2015, 8, 9590. [3] A. Castellanos-Gomez, L. Vicarelli, E. Prada, J. O. Island, K. L. Narasimha-Acharya, S. I. Blanter, D. J. Groenendijk, M. Buscema, G. A. Steele, J. V. Alvarez, H. W. Zandbergen, J. J. Palacios, H. S. J. van der Zant, 2D Mater. 2014, 1, 025001. [4] H. O. Churchill, P. Jarillo Herrero, Nat. Nanotechnol. 2014, 9, 330. [5] S. Das, W. Zhang, M. Demarteau, A. Hoffmann, M. Dubey, A. Roelofs, Nano Lett. 2014, 14, 5733. [6] H. Liu, A. T. Neal, Z. Zhu, Z. Luo, X. Xu, D. Tomanek, P. D. Ye, ACS Nano 2014, 8, 4033. [7] S. P. Koenig, R. A. Doganov, H. Schmidt, A. H. Castro Neto, B. Özyilmaz, Appl. Phys. Lett. 2014, 104, 103106. [8] F. Xia, H. Wang, Y. Jia, Nat. Commun. 2014, 5, 4458. [9] Y. Y. Li, Z. X. Hu, S. H. Lin, S. K. Lai, W. Ji, S. P. Lau, Adv. Func. Mater. 2017, 27, 1600986. [10] R. Fei, L. Yang, Nano Lett. 2014, 14, 2884. [11] X. Peng, Q. Wei, A. Copple, Phys. Rev. B 2014, 90, 085402. [12] J.-S. Kim, Y. Liu, W. Zhu, S. Kim, D. Wu, L. Tao, A. Dodabalapur, K. Lai, D. Akinwande, Sci. Rep. 2015, 5, 8989. [13] J. Pei, X. Gai, J. Yang, X. Wang, Z. Yu, D. Y. Choi, B. Luther Davies, Y. Lu, Nat. Commun. 2016, 7, 10450. [14] C. R. Ryder, J. D. Wood, S. A. Wells, Y. Yang, D. Jariwala, T. J. Marks, G. C. Schatz, M. C. Hersam, Nat Chem 2016, 8, 597.

V.7.9
17:00
Authors : Hung Lit Chan, Shuoguo Yuan, Zhibin Yang and Jianhua Hao*
Affiliations : The Hong Kong Polytechnic University

Resume : Ferroelectric tunnel junctions (FTJs) have drawn considerable attention for non-volatile memory applications. FTJs consist of two metal electrodes separated with a thin ferroelectric barrier. It is widely believed that the FTJ performance is sensitive to the electrode material used or the interface between the electrode and the ferroelectric barrier. Recently, graphene has been used as electrodes to change the interface behavior to enhance the device performance in FTJs. Also, using semiconductor electrodes has been shown to greatly increase the switching characteristics. Here, we report the tunneling electroresistance effect (TER) of BaTiO3/Nb:SrTiO3 heterostructure with graphene electrodes. We find that a large TER ratio above 10000% is achieved at room temperature, which is important to non-volatile memory applications. The result suggests a new route to enhance the non-volatile memory performance and design the memory device architecture.

V.7.10
17:00
Authors : J. More-Chevalier, S. Cichoň, J. Bulíř, M. Poupon, C. A. Corea, P. Hubík. P. Filt, J. Lančok
Affiliations : Institute of Physics, Academy of Sciences of the Czech Republic, Na Slovance 2, 182 21 Prague, Czech Republic

Resume : Scandium nitride ScN is a rocksalt semiconductor with a high hardness and a high melting point and a very interesting optical and electrical properties. Unfortunately, due to the high oxygen affinity of the Scandium, ScN has to be synthesized under an ultrahigh-vacuum environment to minimise the oxygen and hydrocarbon impurities. In this study, ScN thin films with thicknesses varying from 20 nm to 200 nm were deposited by DC magnetron sputtering in an ultrahigh vacuum system. Different deposition temperatures were tested to observe the evolution of ScN properties as a function of the crystalline quality and the chemical compound of films. It has been observed that defects induced by a weak crystallization tend not to ensure the chemical stability of the ScN, and can cause oxidation under atmospheric conditions. Nevertheless, the formed scandium oxide layer plays the role of a passive layer at the surface of ScN samples to protect them from oxidation. A high dependence of nitrogen incorporation is observed from the deposition energy used during the growth of layers. A clear evolution of direct bandgap energies leads to the crystalline quality of films varying from ~ 3 eV to ~ 2.1 eV for the direct bandgap. The electrical resistivity, as well as the electron mobility of ScN films, are strongly linked to the oxygen impurities and grain sizes in these films. The results indicate that the expected ScN properties are observed for films thicker than 100 nm.

V.7.11
17:00
Authors : Norah Alwadai1,2, Md Azimul Haque1, Somak Mitra1, Tahani Flemban1, Yusin Pak1, Tom Wu1, and Iman Roqan 1
Affiliations : 1 Physical Sciences and Engineering Division, King Abdullah University of Science and Technology (KAUST), Thuwal 23955-6900, Saudi Arabia. 2 Department of Physics, College of Sciences, Princess Nourah bint Abdulrahman University (PNU), Riyadh 11671, Saudi Arabia.

Resume : We report on a high-performance vertically-injected broadband UV-to-IR photodetector based on Gd-ZnO nanorods (NRs)/CH3NH3PbI3 perovskite heterojunction fabricated on metal substrates. For the first time, the perovskite-based photodetector is demonstrated to extend its detection capability from deep UV to infrared (IR) (> 1000 nm) wavelength range with high room temperature responsivity. The Gd-ZnO NRs were grown by pulsed laser deposition (PLD) on metal substrate, then perovskite was synthesized on NRs. The PLD optimized parameters are 100 mTorr oxygen partially pressure, 350 mJ laser power, 9 cm target-substrate distance, and 650 C growth temperature. X-ray diffraction and scanning electron microscopy measurements confirmed that high-quality single crystal ZnO NRs were obtained. Photoluminescence measurements revealed high optical properties of Gd-doped ZnO NRs/perovskite. The electrical characterizations were carried out by a halogen light source and a tunable infrared laser (1260–1630 nm) that showed our perovskite-based photodetector is sensitive to a broad spectral range (250−1357 nm). Our device structure leads to a high photo-responsivity of 28 and 0.22 A/W with high detectivity values of 1.1×10^12 and 9.3×10^9 Jones, for white light and IR illumination, respectively. Absorption spectra demonstrate that the IR detection is due to intraband transition in the perovskite material. Metal substrate boosts carrier injection, resulting in higher responsivity compared to that of the conventional devices grown on glass, whereas the presence of Gd increases the ZnO NR performance. This work can lead to a high-performance ingenious cost-effective broadband photodetector design for large-scale applications.

V.7.12
17:00
Authors : S. Charbonnier*, I. Lucci**, S. Gangopadhyay***, Y. Ping Wang**, T. Rohel**, R. Bernard**, L. Pedesseau**, A. Létoublon**, C. Cornet** and P. Turban*
Affiliations : *IPR, UMR 6251, CNRS-Université de Rennes I, 35042 Rennes, France **UMR FOTON, CNRS, INSA Rennes, Rennes, F35708, France ***Birla Institute of Technology and Science, Pilani, India

Resume : The monolithic integration of III-V based laser sources on a silicon chip requires avoiding/limiting the formation of antiphase disorder at the polar-on-nonpolar III-V/Si interface [1]. We present here a detailed scanning tunneling microscopy (STM) study of epitaxial GaP layers grown by molecular beam epitaxy (MBE) [2] on nominal and vicinal Si(001) substrates for photonic applications. The surface morphology of GaP films with thickness varying between 3 and 220 nm is investigated from large scale down to the atomic level. We show that the use of vicinal Si(001) substrates presenting bi-atomic steps only promotes growth of a dominant polarity at the early deposition stages, allowing the complete annihilation of the antiphase boundaries (APBs) for thicker GaP films. From atomically resolved STM images, we also observe that the terminal GaP facets at the early growth stages are strongly modified by the use of a vicinal Si(001) substrate. Based on these STM observations, the three-dimensional GaP/Si(001) MBE growth mode is discussed in terms of surface/interface energies computed by ab-initio calculations. This study gives new ideas on the effect of a controlled substrate miscut on APBs formation and annihilation mechanisms. This work is supported by the French National Research Agency project ANTIPODE (Grant no. 14-CE26-0014-01), Région Bretagne and Rennes Métropole. [1] H. Kroemer, J. Cryst. Growth 81, 193 (1987). [2] Y. Ping Wang et al., Appl. Phys. Lett. 107, 191603 (2015).

V.7.13
17:00
Authors : I. Lucci1,*, S. Charbonnier 2, L. Pedesseau,1 P. Turban2, Y. Léger1, T. Rohel1, N. Bertru1, A. Létoublon1, L. Cerutti3, J.-B. Rodriguez 3, E. Tournié3, M. Vallet4, A. Ponchet4, L. Largeau5, G. Patriarche5, C. Cornet1
Affiliations : 1:Univ Rennes, INSA Rennes, CNRS, Institut FOTON – UMR 6082, F-35000 Rennes, France; 2:IPR, UMR 6251, CNRS-Université de Rennes I, Campus de Beaulieu 35042 Rennes Cedex, France; 3 :CNRS, IES, UMR 5214, F-34000 Montpellier, France; 4:CEMES-CNRS, Université de Toulouse, UPS, 29 rue Jeanne Marvig, BP 94347 Toulouse Cedex 04, France; 5: Centre de Nanosciences et de Nanotechnologies, Campus de Marcoussis, CNRS, Université Paris-Saclay, Route de Nozay, F-91460 Marcoussis, France

Resume : Recently, in the water splitting context[1], [2], it has been demonstrated that the texturation of surfaces at the electrode level greatly enhances the efficiency of BiVO4 photoanodes in PEC devices[3]. Moreover, in the study of the semiconductor photocatalyst materials[4], many researches proposed to use the GaP semiconductor as a photoelectrode in PEC devices[5] especially because its bandgap (2.26 eV) is larger than the 1.73 eV photopotential needed for water splitting. In this work, we developed by surface energy engineering a large scale textured GaP template monolithically integrated on Si, for water splitting applications. We first discuss the stability of the {114} facets, based on scanning tunneling microscopy images and density functional theory calculations. We then show that change of the surface energy for experimentally promoting the GaP(114) surface texturation can be achieved through (i) destabilizing the GaP(001) surface by using a vicinal Si substrate or through (ii) favoring the {114} facets formation by changing the group-V atmosphere above the surface on a miscut-free GaP substrate. This work is supported by the French National Research Agency project ANTIPODE (Grant no. 14-CE26-0014-01) and Région Bretagne. The ab initio simulations have been performed on HPC resources of CINES under the allocation 2016-[x2016096724] made by GENCI (Grand Equipement National de Calcul Intensif). [1] M. G. Walter et al., ‘Solar Water Splitting Cells’, Chem. Rev., vol. 110, no. 11, pp. 6446–6473, Nov. 2010. [2] A. Fujishima and K. Honda, ‘Electrochemical Photolysis of Water at a Semiconductor Electrode’, Nature, vol. 238, no. 5358, p. 37, Jul. 1972. [3] J. Zhao et al., ‘High-Performance Ultrathin BiVO4 Photoanode on Textured Polydimethylsiloxane Substrates for Solar Water Splitting’, ACS Energy Lett., vol. 1, no. 1, pp. 68–75, Jul. 2016. [4] A. Kudo and Y. Miseki, ‘Heterogeneous photocatalyst materials for water splitting’, Chem. Soc. Rev., vol. 38, no. 1, pp. 253–278, 2009. [5] E. E. Barton, D. M. Rampulla, and A. B. Bocarsly, ‘Selective Solar-Driven Reduction of CO2 to Methanol Using a Catalyzed p-GaP Based Photoelectrochemical Cell’, J. Am. Chem. Soc., vol. 130, no. 20, pp. 6342–6344, May 2008.

V.7.14
17:00
Authors : I. Lucci1,*, S. Charbonnier2, L. Pedesseau1, M. Vallet3, L. Cerutti4, J.-B. Rodriguez4, E. Tournié4, R. Bernard1, A. Létoublon1, N. Bertru1, A. Le Corre1, S. Rennesson5, F. Semond5, G. Patriarche6, L. Largeau6, A. Ponchet3, P. Turban1 and C. Cornet1
Affiliations : 1: Univ Rennes, INSA Rennes, CNRS, Institut FOTON – UMR 6082, F-35000 Rennes, France; 2:IPR, UMR 6251, CNRS-Université de Rennes I, Campus de Beaulieu 35042 Rennes Cedex, France; 3: CEMES-CNRS, Université de Toulouse, UPS, 29 rue Jeanne Marvig, BP 94347 Toulouse Cedex 04, France; 4: CNRS, IES, UMR 5214, F-34000 Montpellier, France; 5: Université Côte d’Azur, CRHEA-CNRS, Rue Bernard Grégory, F-06560 Valbonne, France; 6: Centre de Nanosciences et de Nanotechnologies, Campus de Marcoussis, CNRS, Université Paris-Saclay, Route de Nozay, F-91460 Marcoussis, France

Resume : The monolithic integration of III-V semiconductors on Si is of great interest for photonics due to its potential to provide very large scale integration[1]. So far, driving forces for III-V/Si crystal growth, heterointerfaces formation and antiphase boundaries formation have not been fully understood. In this work, we explain in terms of thermodynamics the GaP/Si 3D-growth mode extending this concept to other systems which show the same growth mode, such as AlSb/Si(001) or AlN/Si(111). Surface and interface energies have been computed by ab-initio calculations (DFT) [2] to determine the free energy changes for describing the thermodynamic process of islands formation [3]. We show that the 3D growth is thermodynamically favorable for most common III-V semiconductors hetero-integration on Si. We finally propose a general III-V/Si growth mechanism where respective contributions of thermodynamics and kinetics are discussed, and the antiphase boundaries formation is clarified. This work is supported by the French National Research Agency project ANTIPODE (Grant no. 14-CE26-0014-01) and Région Bretagne. The ab initio simulations have been performed on HPC resources of CINES under the allocation 2016-[x2016096724] made by GENCI (Grand Equipement National de Calcul Intensif). [1] M. Liao et al., ‘Monolithically Integrated Electrically Pumped Continuous-Wave III-V Quantum Dot Light Sources on Silicon’, IEEE J. Sel. Top. Quantum Electron., vol. 23, no. 6, pp. 1–10, Nov. 2017. [2] O. Romanyuk, T. Hannappel, and F. Grosse, ‘Atomic and electronic structure of GaP/Si(111), GaP/Si(110), and GaP/Si(113) interfaces and superlattices studied by density functional theory’, Phys. Rev. B, vol. 88, no. 11, p. 115312, Sep. 2013. [3] P. Müller and R. Kern, ‘Equilibrium nano-shape changes induced by epitaxial stress (generalised Wulf–Kaishew theorem)’, Surf. Sci., vol. 457, no. 1, pp. 229–253, Jun. 2000.

V.7.15
17:00
Authors : Youngsu Lee, Doo-Seung Um, Seongdong Lim, Hyunhyub Ko
Affiliations : Ulsan National Institute of Science and Technology (UNIST)

Resume : Hybrid heterostructures based on two dimensional (2D) and other semiconductors provide the opportunity to build multi-functional electronic devices for applications in broadband photodetectors, junction field-effect-transistors and memory devices. In this study, we demonstrate a multi-functional heterojunction diodes based on black phosphorus and n-InGaAs nanomembrane semiconductors, which exhibit gate tunable, photoresponsive, and programmable diode characteristics. This gate-tunable diode properties enable the device to offer both rectifying and switching behaviors with a maximum rectifying ratio of 2,500 and on/off ratio exceeding 105 for diode and transistor devices, respectively. The device also exhibits non-volatile memory properties including large hysteresis and stable retention of storage charges due to the native phosphorus oxide (POx). By combining the memory and gate tunable rectifying behaviors, the rectifying ratio of the device can be controlled and memorized from 0.058 to 400 by the application of gate pulse. Moreover, the device could generate three different electrical signals by combination with photoresponsivity of 46 mA/W and gate tunable electrical property, which has a potential application in multiple logic operator. This work presents further understanding of multi-functional heterostructure device made of 2D and compound semiconductor with opportunities for exploring novel design of heterostructure.

V.7.16
17:00
Authors : LEUNG MAN HO, ZHU YE
Affiliations : "The Department of Applied Physics, The Hong Kong Polytechnic University"

Resume : Recent research has explored the promising future of BiFeO3 180° ferroelectric domain walls as they have improved piezoelectric response and enhanced dielectric properties. However, the detailed atomic structure at the domain walls has not been fully investigated. In this work, we examined the polarization configuration and the atomic scale structure by using aberration-corrected (STEM) Z-contrast imaging with sub-Angstrom resolution. Results indicated that there are two types of polarization configurations. Moreover, the customized Matlab image analysis demonstrated that the lattice parameters depend on the polarization configurations. The observed variations in lattice parameters across the domain walls may be due to the oxygen octahedral tilting of the unit cells. According to the first principle density functional theory calculation we conducted, the oxygen octahedral tilting angle increased at the domain walls which lead to an enlargement of lattice spacing between the unit cells. These results furnish a preliminary understanding about the relationship between polarization configuration and atomic scale structure. Hence, it could provide a critical insight into the application of BiFeO3 ferroelectric material in different electronic devices.

V.7.17
17:00
Authors : L. Chen1, O. Skibitzki2, R. Piron1, J. Stervinou1, A. Letoublon1, R. Tremblay1, T. Rohel1, R. Bernard1, C. Levallois1, Y. Léger1, T. Schroeder2, G. Capellini2, C. Cornet1
Affiliations : 1 Univ Rennes, INSA Rennes, CNRS, Institut FOTON-UMR 6082, F-35000 Rennes, France; 2 IHP, Im Technologiepark 25, 15236 Frankfurt (Oder), Germany

Resume : Integration of III-V photonics on Si substrate remains a very hot topic in recent years[1,2]. GaP shows a strong advantage of low-lattice mismatch to Si and has been widely studied. However, the GaP material has an indirect bandgap and relatively low carrier mobility, which intrinsically limit its optical performances. Therefore, the pseudomorphic integration of InGaP on a relaxed SiGe/Si pseudo-substrate is expected to overcome these issues. In this work, the optical properties of an InGaP/SiGe/Si sample were studied by temperature- and power- dependent photoluminescence (PL). With the additional help of transmission electron microscopy images and chemical mechanical polishing technique, one PL emission peak is found to come from surface InP quantum dots. Other PL emission peak with a superlinear power dependence is tentatively considered to be co-contributed by InGaP host material[3] and In-rich antiphase boundaries, in good correlation with theoretical predictions[4]. This work is supported by the French National Research Agency project ANTIPODE (Grant no. 14-CE26-0014-01) and Région Bretagne. [1] M. Liao et al., “Monolithically Integrated Electrically Pumped Continuous-Wave III-V Quantum Dot Light Sources on Silicon”, IEEE Journal of Selected Topics in Quantum Electronics, 23(6), 2017. [2] C. Cornet, Y. Léger, and C. Robert, “Integrated Lasers on Silicon”, ISTE-Elsevier, 2016. [3] U. Kops et al., “Intrinsic Quantum Dots in Partially Ordered Bulk (GaIn)P”, Physical Review B, 61(3), 2000. [4] E. Tea et al., “Theoretical Study of Optical Properties of Anti Phase Domains in GaP”, Journal of Applied Physics, 115(6), 2014.

V.7.18
17:00
Authors : Juanmei Duan1,2, M. Wang1,2, L. Vines3, R. Hübner1, R. Böttger1 ,M. Helm1, W. Skorupa1, S. Zhou1, S. Prucnal1
Affiliations : 1Helmholtz-Zentrum Dresden-Rossendorf, Institute of Ion Beam Physics and Materials Research, Bautzner Landstrasse 400, D-01328 Dresden, Germany; 2Technische Universität Dresden, D-01062 Dresden, Germany; 3Department of Physics/Centre for Materials Science and Nanotechnology, University of Oslo, P.O. Box 1048 Blindern, N-0316 Oslo, Norway

Resume : Semiconductors with ultra-high doping level are attractive for the near- and mid-infrared plasmonics. In III-V compound semiconductors characterized by high electron mobility and low effective mass the plasma edge can be tuned by changing the doping level. In this paper we present the formation of ultra-high doped p-type and n-type GaAs utilizing ion implantation of Te, S and Zn , followed by millisecond-range flash lamp annealing (FLA). The depth distribution of implanted elements obtained by SIMS shows that the ms-range FLA suppresses the diffusion of dopants, while simultaneously the ms-range annealing is sufficient to recrystallize the implanted layer as shown by XRD. The effective carrier concentration is in the range of ~1020 cm-3 suggesting full activation of implanted elements. The structural and optical properties of ion implanted and annealed GaAs were investigated using XRD and micro-Raman spectroscopy. The plasmonic properties are confirmed by Fourier transform infrared spectroscopy (FTIR) and Raman spectroscopy.

V.7.19
17:00
Authors : I.Guizani, W.Q. Jemmali, M.M. Habchi, A. Rebey
Affiliations : University of Monastir, Faculty of Sciences, Unité de Recherche sur les Hétéro-Epitaxies et Applications, 5019 Monastir, Tunisia

Resume : We have theoretically investigated the 1.55 µm p-i-n GaNAsBi-based multiple quantum wells (MQWs) using a self-consistent calculation combined with 16x16 BAC model. Their performances are evaluated in terms of optical gain and radiative current density J_rad. We have found that J_rad reduces by increasing the well thickness〖 L〗_ω. The quantum confined Stark effect as well as the doping effect on spontaneous emission and radiative current density in ideal lasers are also discussed. The optical properties of the heterostructure are improved when the MQWs are doped. The optimization of well parameters can be used as a basis for GaNAsBi-based lasers intended for optical fiber telecommunication wavelength.

V.7.20
17:00
Authors : K. G. Kalhauge, A. Shivayogimath, D. M. A. Mackenzie, A. Cagliani, H. H. Henrichsen, O. Hansen, T. Booth, D. H. Petersen
Affiliations : Department of Micro- and Nanotechnology, Technical University of Denmark, DTU Nanotech Building 345C, DK-2800 Kgs. Lyngby, Denmark; Department of Micro- and Nanotechnology, Technical University of Denmark, DTU Nanotech Building 345C, DK-2800 Kgs. Lyngby, Denmark; Department of Micro- and Nanotechnology, Technical University of Denmark, DTU Nanotech Building 345C, DK-2800 Kgs. Lyngby, Denmark; CAPRES A/S, Scion-DTU, Building 373, DK-2800 Kgs Lyngby, Denmark; CAPRES A/S, Scion-DTU, Building 373, DK-2800 Kgs Lyngby, Denmark; Department of Micro- and Nanotechnology, Technical University of Denmark, DTU Nanotech Building 345C, DK-2800 Kgs. Lyngby, Denmark; Department of Micro- and Nanotechnology, Technical University of Denmark, DTU Nanotech Building 345C, DK-2800 Kgs. Lyngby, Denmark; Department of Micro- and Nanotechnology, Technical University of Denmark, DTU Nanotech Building 345C, DK-2800 Kgs. Lyngby, Denmark

Resume : Successful integration of 2D materials in large scale production requires metrology to monitor the material quality and uniformity. The standard methods for electrical characterization of 2D materials are Hall Effect and van der Pauw type measurements or characterization of device performance in which a 2D material is integrated. Several fabrication steps are involved in fabricating these structures. Each of these steps risks altering the properties of the 2D material. Due to the permanent nature of these Hall and van der Pauw structures, only a single area of the 2D material is probed, making it difficult to monitor the overall material uniformity. Together these effects make it hard to generate adequate statistics. In this study, we will present the use of micro four-point probes (M4PP) as a possible tool for the characterization of field effect in 2D materials. By using M4PP to characterize the 2D materials, they do not need to be patterned, thus reducing processing steps, and adds the possibility of mapping material uniformity in an automated fashion. The uniformity both locally and globally can then be compared across samples. We will present data concerning the uniformity of MoS2 and reproducibility of the measurements and compare it to van der Pauw measurements on similar samples. The measured samples consist of MoS2 transferred to either SiO2 or PMMA coated highly doped silicon.

V.7.21
17:00
Authors : K.K.Lam, K.H. Chan, S.M. Ng, H.F. Wong, Y.K Liu, C.W. Leung, C.L. Mak*
Affiliations : Department of Applied Physics, The Hong Kong Polytechnic University, Hung Hom, Kowloon, Hong Kong, People?s Republic of China

Resume : Epitaxial Gd-doped SrxBa1-xNb2O6 films were fabricated on Pt coated MgO single crystals substrates using pulsed laser deposition (PLD). Their structural properties were investigated by X-ray diffraction. The ?-2? scan showed single crystalline Gd-doped SBN layer with < 211> orientation perpendicular to the substrate plane. Electrical measurements showed that the Gd-SBN films had good ferroelectric properties. Ramanent polarization of 0.7 µC/cm2 and coercive field of 0.4 kV/cm2 were obtained. The pyroelectric properties of Gd-doped SBN thin films will also be investigated to show the potential of Gd-SBN film applied in pyroelectric device.

V.7.22
17:00
Authors : S. Zouaghi, I. Daldoul, H. Fitouri, A. Rebey
Affiliations : University of Monastir, Unité de Recherche sur les Hétéro-Epitaxies et Applications, Faculty of Sciences of Monastir, 5019 Monastir, Tunisia

Resume : Photoluminescence (PL) and photoreflectance (PR) measurements have been used to determine the band gap energy of InGaAs and GaAsBi alloys. The temperature dependence of optical transitions in the temperature ranging from 12 to 300 K were investigated. Three theoretical models (Varshni, Viňa, and Pässler) were used to fit the experimental points. The results show that the temperature dependence of the fundamental gap energy is attributed to the sum of two contributions: the thermal expansion (TE) and the electron-phonon interaction (EPI). For InGaAs with different In concentration (x=0, 0.08, 0.16 and 0.37), the contribution to the EPI of the longitudinal optical phonon increases, relatively to the longitudinal acoustical phonon, with increasing In concentration. In the low temperature range, the small decrease in the optical transition energy is explicated by a competition between the two contributions (TE and EPI). At high temperature, the linear decrease of gap energy is explained essentially by the EPI. Additionally, we have estimated the thermal expansion coefficient of GaAsBi with different Bi concentration (x=0.013, 0.037 and 0.048). The results show a slowly decrease in the TE with temperature and Bi composition. This effect is considered to play an important role in the reduction of the temperature dependence of the band gap energy of GaAsBi alloys. GaAsBi alloys are important materials for the fabrication of temperature insensitive optical devices.

V.7.23
17:00
Authors : Marie COSTE1, Géraldine HALLAIS1, Laëtitia VINCENT1, Nikolay CHERKASHIN2, Ludovic LARGEAU3, Daniel BOUCHIER1, Charles RENARD1
Affiliations : 1Centre de Nanosciences et de Nanotechnologies, CNRS, Univ. Paris-Sud, Université Paris-Saclay, C2N - Orsay, 91405 Orsay cedex, France; 2CEMES-CNRS and Université de Toulouse, 29 rue J. Marvig, 31055 Toulouse, France; 3Centre de Nanosciences et de Nanotechnologies, CNRS, Univ. Paris-Sud, Université Paris-Saclay, C2N - Marcoussis, 91460 Marcoussis, France

Resume : Because of GaAs properties such as high electronic mobility and direct band gap, its integration on Si substrate has been studied for fields such as high mobility electronics and integration of novel functionalities in photonics. GaAs integration on Si substrate is a major challenge due to the formation of dislocation (4% lattice mismatch) and other defects like anti-phase domains due to their polar/non polar interface nature. In this study, we will show the possibility to integrate GaAs µ-crystal on Si (001) and (111) by epitaxial lateral overgrowth from randomly dispersed or localized nano-seeds. Those ones are made of Si or Ge and aim to improve the GaAs crystal nucleation. Indeed, lattice parameters accommodation will occur within a limited surface allowing GaAs to relax laterally. Thus we will describe the GaAs crystallinity, in function of the substrate and nano-seed types. In particular, we will show how the morphology of Ge can be detrimental to the GaAs cristallinity. One of the most interesting results had been obtained on patterned Si (111) type substrate; with a 100% nano-opening fill rate and around 75% of high epitaxial quality GaAs µ-crystal. Scanning Electron Microscopy and Transmission Electron Microscopy had been used to observe the crystalline structure; Energy Dispersive X-rays spectrometry analysis had been performed in order to localize the Ge nano-seed. These different results will be presented and discussed.

V.7.24
17:00
Authors : Y. Bourlier 1, M. Bouttemy 1, Olivier Patard 2, Piero Gamara 2, S. Piotrowicz 2, J. Vigneron 1, R. Aubry 3, S. Delage 2, A. Etcheberry 1
Affiliations : 1 Institut Lavoisier de Versailles, Université de Versailles Saint-Quentin en Yvelines, Université Paris-Saclay, 78035 Versailles France. 2 Microelectronic GaN, III-V Lab, Campus Polytechnique, 1, avenue Augustin Fresnel, 91767 Palaiseau Cedex. 3 Thales Research & Technology, Campus Polytechnique, 1, avenue Augustin Fresnel, 91767 Palaiseau Cedex.

Resume : III-V nitride materials combine unique properties such as a direct and tunable band gap. InAlN/GaN device structures, developed for HEMT, ensure a spontaneous high polarization with high 2DEG (3.5 x 1013 cm-2). Moreover, MOCVD deposition technique gives excellent crystalline quality for ultra-thin layers (below 8 nm thickness). Such materials are also known to be chemically stable at working temperature and poorly reactive to air exposure. Nevertheless, thermal annealing treatment during ohmic contact engineering can harm the quality of 2DEG. We currently studied by XPS the chemical surface evolution of InAlN, from 850°C to 950°C, under O2 rich and Ar atmosphere, in order to understand the degradation mechanisms usually running through the HEMT elaboration process. We firstly found that O2 thermal treatment increases the oxygen content forming an ultra-thin and covering oxide top layer. Important chemical change is observed with the appearance of a new N1s band at 404 eV attributed to interstitial N2 molecules trapped in the structure. Enlargement of the usual N1s band at 397.3 eV (accredited to InAlN) can also be seen and was attributed to N-N bonded defects. Ageing of the samples was finally performed showing that the N2 interstitial molecules are slowly decreased and almost totally gone after 1 year. Unlike this evolution, N-N defects are still present justifying a deeper incorporation inside the layer. To our knowledge, this unusual behaviour was not reported before.

V.7.25
17:00
Authors : C. N. Kuo 1*, T. Y. Wei 2, Y. C. Wu 2
Affiliations : 1 Bioinformatics and Medical Engineering Department, Asia University, Taichung, Taiwan 2 Department of Materials and Optoelectronic Science, National Sun Yat-Sen University, Kaohsiung, Taiwan

Resume : Recently, additive manufacturing (AM) technology, also known as three-dimensional printing (3D printing), has been well developed. Numerous new designs had been developed and realized by additive manufacturing technology. However, some of the 3D printable commercial materials should be modified or further improved to meet the environmental requirement for the unique applications. For example, the wear resistance and corrosion resistance of the Titanium impeller should be improved/modified depending on the application environment. In this study, the high wear and corrosion resistance amorphous alloy is introduced to be sputtered on the surface of the 3D printed Titanium alloy to modify the physical and chemical properties on the surface. Meanwhile, thanks to the lacking of the grain boundary of amorphous alloy, the poor surface roughness of the as printed Titanium alloy could be improved by appropriately controlling of the sputtering parameter at the same time. The amorphous nature and morphology of the samples were examined by X-ray diffraction (XRD) and scanning electron microscopy (SEM). Meanwhile, all of the results were discussed in details.

V.7.27
17:00
Authors : R. Gudaitis, A, Vasiliauskas, S. Tamulevičius, Š. Meškinis
Affiliations : Institute of Materials Science of Kaunas University of Technology, Baršausko 59, Kaunas, Lithuania

Resume : 2D nanomaterial graphene is at the top of the significant interest due to the giant electron and hole mobility, charge carrier multiplication, flexibility, optical transparency, chemical inertness. One of the hindrances stopping the wider application of the graphene in semiconductor device technology is complex procedure used for fabrication of the graphene layers by using graphene transfer. Cu or Ni catalytic foils. Afterward, follows the long process of the graphene transfer onto the targeted semiconductor or dielectric substrates. During that process, graphene can be contaminated by different adsorbents. Transfer cause wrinkles or ripples to form on graphene. In such a case control of the graphene layer or graphene-semiconductor contact properties is complicated. Recently there were shown that direct synthesis of the graphene on semiconducting or dielectric substrates is possible. However, this technology and related studies are at the very beginning. In present research graphene layer were directly synthesized by microwave plasma enhanced chemical vapor deposition on monocrystalline Si substrates and SiO2 films. Nitrogen doping of the directly synthesized graphene layers was considered. Structure, composition and morphology of the films was investigated by Raman scattering spectroscopy, X-ray photoelectron spectroscopy and atomic force microscopy. Deposition temperature, synthesis time and pressure effects on the structure of the directly synthesized graphene layers was studied. Research on effects of the hydrogen and methane gas flow ratio on the structure of the directly synthesized graphene layers was performed. Composition and structure of the nitrogen doped directly synthesized graphene were investigated.

V.7.28
17:00
Authors : Suresh Sundaram,1 Xin Li,1,2 Taha Ayari,1,2 Saiful Alam, 1,2 Youssef El Gmili,1 Gilles Patriarche,3 Paul L. Voss,1,2 Jean Paul Salvestrini,1,2 and Abdallah Ougazzaden1,2
Affiliations : 1 Georgia Tech Lorraine, UMI 2958, Georgia Tech - CNRS, 57070 Metz, France
 2 School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia, 30332, USA
 3 Centre de Nanosciences et de Nanotechnologies, CNRS, Univ. Paris-Sud, Université Paris-Saclay, C2N – Marcoussis, 91460, Marcoussis, France.

Resume : Recent advances in MOVPE growth enabled realization of high quality wafer-scale h-BN1, subsequently III-nitride device structures were grown on it. This new architecture added much needed functionalities and are very attractive for flexible electronic and optoelectronic applications. Previously, we have demonstrated growth of III-nitride LED structures on h-BN buffered sapphire substrates and simple wafer-scale mechanical liftoff using metallic tapes2. AlGaN/GaN high electron mobility transistors (HEMT) grown on h-BN templates is very interesting for cost reduction, higher performance and flexible applications. Further h-BN acts as a good heat sink solving the self heating issues. In the present investigation AlGaN/GaN HEMTs were grown on 2” and 4” h-BN buffered sapphire substrates. We overcame growth issues like delamination during growth, cracking and other strain related degradations by optimizing the growth conditions and thicknesses of different constituent layers. Detailed cross sectional transmission electron microscope analysis on the interfaces between 3D bonded III-nitride and 2D layered h-BN buffered sapphire substrates shows that the AlGaN/GaN heterostructure are single crystalline and has abrupt interfaces. Front-end HEMT process was adapted to suit the fragile as-grown device structures. The modified process yielded more than 1000 devices that show typical I-V characteristics of an AlGaN/GaN HEMTs device results on a 2” wafer. Subsequently the processed HEMTs were lifted off and transferred to metallic platforms with good performances. This transfer of III-N based devices using 2D h-BN opens new avenues to use suitable substrates, and is promising for next-generation wearable and portable opto-electronic devices. Ref: 1. Li, X., et al., Crystal Growth & Design, 16, 3409−3415 (2016) 2.Ayari, T., et al., Appl. Phys. Lett. 108, 171106 (2016)

V.7.29
17:00
Authors : F. Yahia(a), I. Daldoul(a), N. Chaaben(a), Y. El Gmili(b), A. Bchetnia(a), J. P. Salvestrini(b) and A. Rebey(a).
Affiliations : (a)Unité de Recherche sur les Hétéro-Epitaxies et Applications, Faculté des Sciences de Monastir 5019, Université de Monastir, Tunisia. (b) Unité Mixte Internationale, 2958 Georgia Institute of Technologie – Centre Nationale de la Recherche Scientifique, Université de Lorraine, 57070 Metz, France.

Resume : The growth of cubic GaN layers on (113) and (001) oriented GaAs substrates were investigated by metal organic vapor phase epitaxy (MOVPE). The growth of low temperature GaN nucleation layers (500-550 °C) was in-situ monitored by laser reflectometry (LR). The simulation of experimental reflectivity curves, by using an optical model which includes both time-dependent growth rate and surface roughness profiles, shows differences between growth kinetics depending on substrate orientation. Thus, growth anisotropy was observed. The effect of high temperature (750-900 °C) GaN sublayers grown on 130 nm thick GaN buffer layer deposited on (113) GaAs substrate at low temperature (550 °C) was analyzed. The GaN sublayers were ex situ characterized by scanning electron microscope (SEM), high resolution X-ray diffraction (HRXRD) and room temperature cathodoluminescence (RT-CL). Based on comparative study between structural and optical qualities of GaN layers grown on the two substrate orientations, we conclude that the crystalline structure strongly depends on both temperature and substrate orientation. The SEM micrographs and 2θ/ω spectra showed that the (113) oriented GaAs promotes the alignment of cubic GaN (113) columns at a growth temperature close to 850°C. For this temperature, the RT-CL spectra exhibited only cubic GaN emission (3.23eV) for the two orientations (001) and (113) of GaAs substrate. Keywords: MOVPE, c-GaN(113), GaAs(113) substrate, HRXRD, Cathodoluminescence.

V.7.30
17:00
Authors : Samiul Hasan1,2, Clement Merckling2, Marianna Pantouvaki2, Joris Van Campenhout2, Wilfried Vandervorst1,2
Affiliations : 1 Department of Physics, Celestijnenlaan 200D, 3001 Heverlee, Belgium 2 IMEC vzw, Kapeldreef 75, 3001 Heverlee, Belgium

Resume : Quantum Dots (QDs) are considered as an efficient active layer for semiconductor lasers whereby their physical dimension is the key parameter to be controlled. In this work, we have studied experimentally the growth of InAs QDs on InP(001) substrate by MOVPE and established a theoretical model explaining our observations. In variance with the classical Stranski-Krastanov growth, we show that during the growth there is an intermediate stage whereby although first large 3D islands are formed, an increased density of small QDs if formed concurrent with the shrinkage of the large 3D islands. As a result, the growth can be divided into three regimes: 2D layer growth, large 3D islands growth and QDs growth. To explain this evolution, a thermodynamic model has been developed accounting for the process driven by surface energy, elastic relaxation energy and inter-island interaction energy. It will be shown that the balance between the surface energy and the elastic relaxation energy provides the 2D layer to large 3D islands transition (roughly at 3 ML of InAs deposition). However, we have found that a competing process is the inter-island interaction which explains the volume shrinkage of the early large 3D islands with the increase of density and small QDs formation.

V.7.31
17:00
Authors : G. Enstone, P. Brommer, D. Quigley and G.R. Bell
Affiliations : University of Warwick

Resume : We present two approaches to understanding graphene growth on copper which are applicable to a wide range of "weak epitaxy" systems. In both models, one based on lattice Monte Carlo and the other on molecular dynamics, the substrate is treated in a purely abstract way. This allows aspects of so-called Van der Waals epitaxy to be studied in a computationally efficient way. The models address two important aspects of graphene growth: how high quality single crystal material can be grown on rough, highly dynamic (sublimating) copper surfaces, and how mismatch epitaxy with small-angle offsets occurs.The abstract substrate approach will be discussed in the context of other epitaxial systems with weak interactions and symmetry mismatch.

V.7.32
17:00
Authors : Saloni Chaurasia, Srinivasan Raghavan, Sushobhan Avasthi
Affiliations : Indian Institute of Science, Bangalore, Karnataka, 560012, INDIA

Resume : Growth of Ge on Si is difficult due to lattice mismatch of 4% .This lattice mismatch leads to misfit dislocations which reach surface as threading dislocations resulting in defective films and ultimately are detrimental to device performance. Despite, several methods for growing epitaxial germanium films on Si (100) have been reported such as MBE[1] and also using vapor phase epitaxy such as two-step process using RPCVD[2] and three step process using MOCVD[3]. However the growth of epitaxial Ge on Si (110) is even more difficult. It is reported that one of the primary factors limiting crystalline quality on Si(111), (110), and (112) is the tendency towards stacking fault and micro-twin formation. These micro-twins are believed to arrest the propagation of strain-relieving misfit dislocations necessitating further nucleation events and an overall rise in threading dislocation density(TDD),[4]. Still several methods like surfactant mediated MBE [5], selective growth techniques such as aspect ratio trapping (ART) and epitaxial lateral overgrowth (ELO) [6]. Apart from these methods two step process using LT and HT layers to reduce formation of stacking faults have also been reported [7]. In this work, we report relatively unexplored liquid phase epitaxial growth method to grow epitaxial Ge films on Si(110). Liquid phase epitaxial growth has inherent challenges due to its sensitivity to substrate cleanliness as well as deposition and growth chamber conditions. We present study of liquid phase epitaxial growth of germanium thin films on Si(110) after annealing of amorphous Ge films in hydrogen ambient. SEM and XRD measurements were done to observe film morphology and quality. Finally, AFM roughness measurements were done on films with lowest threading dislocation densities. 1 μm thick amorphous germanium films were deposited Si(110) wafers using OXFORD Plasma lab PECVD deposition system 3500C, with GeH4 10 sccm, N2 100 sccm and H2100 sccm, and RF power density of 0.20 W/cm2. RCA cleaning followed by HF dip was done for Si wafers prior to deposition. RCA cleaning of silicon wafers was done to ensure removal of organic as well as metal contaminants from the substrate which might contaminate the deposited film and subsequently hinder the re-crystallization process .The depositions were preceded by a plasma cleaning of the PECVD chamber to avoid any contamination on the deposited film. The PECVD grown Ge layers were annealed above melting temperature of Ge (9370C) in a clean tube furnace in hydrogen ambient devoid of chamber contamination above melting temperature. The films annealed in tube furnace were oriented in (110) following orientation of Si (110) beneath. Both amorphous and re-crystallized films were observed under Zeiss ultra 55® Scanning Electron microscope. X-ray diffraction measurements were done using Rigaku Smartlab® X-ray diffractometer for films crystallized in tube furnace. High resolution rocking curve XRD measurements were done to estimate threading dislocation density on the basis of rocking curve FWHM. AFM measurements were done to find surface roughness of films. XRD θ-2θ measurements for different film thickness show highly oriented Ge films in (220) direction following orientation of underlying silicon.Fig.2 shows XRD curve for films and it is evident that film is highly oriented in (220) . Clearly the film has re-crystallized epitaxially upon melting and solidification on Si(110) surface. Epitaxial quality is the measure of spread in the rocking curve of specular and non-specular reflection XRD peaks. Full with at half maximum of the peaks are used to infer the mosaicity and defects such as dislocation. The full-width half-maximum (FWHM) of all are rocking scan peaks are <= 0.15 degrees for 1 μm thick films From rocking curve scans it was seen that FWHM do not vary for different planes indicating similar dislocation densities. The total dislocation density calculated from rocking curve scans is 4.3 x 10^9 cm-2 . There is a thickness dependence on the epitaxial quality of films grown on Si. This is already observed in our previous work for Ge films grown on Si (100) [8] where 1 micron thick films had lowest defect density. Similar trends are expected for films grown on Si(110) substrates and hence only results corresponding to 1 micron films with lowest defect density are discussed here. The defect density in Ge(110) films is found to comparable with Ge(100) films[8] as contrary to observed by [4]. This indicated that high temperature of growth results in annihilation of dislocations and stacking faults resulting in similar quality of films as observed for Ge (100). XRD phi scan was done to confirm in plane epitaxial relationship between Si substrate and germanium films crystallized using LPE . Phi scan is done corresponding to (111) and (400) planes of germanium for hydrogen ambient annealed films. The films showed two-fold rotational symmetry confirming epitaxial nature . Roughness measurement is a requisite for device application and hence were measured for the films. The AFM scan was done on an area of 5x5 um for 1 micron films annealed in hydrogen ambient and found to be ~ 80 nm. In conclusion , we have presented a method to grow epitaxial thin-film of germanium on silicon (110) using a relatively simple one-step process. The films were crystallized by annealing at a temperature slightly higher than the melting point (9370 C) of germanium in hydrogen ambient and cooling slowly .The Si(100) wafer underneath oriented the Ge melt leading to high-quality Ge epitaxial films. SEM and XRD show that the resulting films were highly oriented, continuous and crack free respectively. The rocking curve (Omega scan) confirmed that the1 micron films are highly-oriented with a FWHM of only ~0.15 degree resulting in dislocation density of ~10^9 cm-2. Also, phi scan for LPE films confirms high quality epitaxial growth. References [1] J. Liu, H. J. Kim, O. Hul’ko, and Y. H. Xie,S. Sahni, P. Bandaru, and E. Yablonovitch, “Ge films grown on Si substrates by molecular-beam epitaxy below 450°C,” J. Appl. Phys. ,vol.96, 2004 . [2] V.A. Shah, A. Dobbie, M. Myronov, D.R. Leadley, “High quality relaxed Ge layers grown directly on a Si(0 0 1) substrate” Solid-State Electronics,vol. 62pp.189–194, 2011. [3] Kwang Hong Lee, Adam Jandl, Yew Heng Tan, Eugene A. Fitzgerald, and Chuan Seng Tan, “Growth and characterization of germanium epitaxial film on silicon (001) with germane precursor in metal organic chemical vapour deposition (MOCVD) chamber”,AIP Advances vol.3, p.092123,2013. [4] Minjoo L. Lee a,b,*, Dimitri A. Antoniadis a, Eugene A. Fitzgerald, “Challenges in epitaxial growth of SiGe buffers on Si (111), (110), and (112),” Thin Solid Films 508 ,pp.136 – 139, 2006. [5] T. F. Wietler, E. Bugiel K. R. Hofmann, “Surfactant-Mediated Epitaxy Of Relaxed Low-Doped Ge Films On Si(001) With Low Defect Densities,” Appl. Phys. Lett. 87, 182102 ,2005. [6] J.-S. Parkz et.al, “ Low-Defect-Density Ge Epitaxy on Si(001) Using Aspect Ratio Trapping and Epitaxial Lateral Overgrowth” ,Electrochem. Solid-State Lett. volume 12, issue 4, pp.142-144, 2009. [7] Van Huy Nguyen, A. Dobbie, M. Myronov, and D. R. Leadley, “High quality relaxed germanium layers grown on (110) and (111) silicon substrates with reduced stacking fault formation ,” Journal of Applied Physics 114, 154306 ,2013. [8] Chaurasia, Saloni, Srinivasan Raghavan, and Sushobhan Avasthi. “High Quality Epitaxial Germanium on Si ( 100 ) for low -cost III-V”. In: 44th IEEE Photovoltaic Specialist Conference(IEEE-PVSC 2017).

V.7.33
17:00
Authors : C.W. Burrows, T.P.A. Hase, H. Wang, E.C. Ouserigha and G.R. Bell
Affiliations : Department of Physics, University of Warwick

Resume : Highly mismatched heteroepitaxial growth is usually assumed to occur either in a layer-by-layer mode, where elastic strain energy is reduced by misfit dislocation formation, or in one of several 3D modes where lateral strain relaxation contributes to elastic energy reduction. MnSb is a double-hexagonal close-packed ferromagnetic weak metal with technological potential in semiconducor spintronics. It can be grown on GaAs(111) in the (0001) orientation, with 3.2% lattice mismatch. We use synchrotron X-ray diffraction, scanning tunnelling microscopy and first-principles calculations to investigate its unusual growth mode and strain relaxation. Broad flat-topped islands in both coherently strained and relaxed states co-exist in the first few nanometres of film growth.

V.7.34
Start atSubject View AllNum.
 
Complex heterogeneous systems - part I : N. D. M. Hine
08:30
Authors : Younes Makoudi,1 Frédéric Chérioux,1 Christophe Krzeminski,2 Fabrizio Cleri,2 Bruno Grandidier, 2 Frank Palmino1
Affiliations : 1 Institut FEMTO-ST, Université de Bourgogne Franche-Comté, CNRS, 15B Avenue des Montboucons, 25030 Besançon cedex, France 2 Univ. Lille, CNRS, Centrale Lille, ISEN, Univ. Valenciennes, UMR 8520-IEMN, 59000 Lille, France

Resume : Nowadays more than 90% of published results show molecules adsorbed onto metallic or HOPG surfaces. This is explained by the low reactivity between molecules and these surfaces which induce a molecular diffusion and the possibility to observe large and perfect self-assemblies. Nevertheless, there are probably a real economic and technological interests to develop molecular self-assembled layers onto semiconductors and in particular onto silicon surfaces. Actually, due to the existence of Si dangling bonds which induce a strong interaction between molecules and substrates, the formation of such molecular layers is still a real challenge. To circumvent this problem, we need atomically passivated Si surface. Here, an original unreactive silicon surface is presented: the high boron doped silicon √3x√3-SiB (111) reconstruction. Since 10 years, very amazing results have been obtained showing large and perfect molecular self-assemblies by deposition of home-made and specifically designed aromatic molecules on this silicon surface [Ref. 1]. The morphology of each supramolecular network is explained by the competition between molecule-molecule and molecule-surface interactions. [1] Y. Makoudi, J. Jeannoutot, F. Palmino, F. Chérioux, G. Copie, C. Krzeminski, F. Cleri, B. Grandidier, Surface Science Reports 72 (2017) 316–349.

V.3.1
09:00
Authors : M. Kratzer(a), A. Matković (a), J. Genser(a), G. Lin(a), D. Lüftner(b), Z. Chen(c), O. Siri(c), C. Becker(c), P. Pusching(b), C. Teichert(a)
Affiliations : (a) Institute of Physics, Montanuniversität Leoben, Leoben, Franz-Josef Str. 18, Austria; (b) Institute of Physics, Karl-Franzens-Universität Graz, NAWI Graz, Universitätsplatz 5, Graz, 8010, Austria; (c) Aix-Marseille Universite, CNRS, CINaM UMR 7325, 13288 Marseille, France

Resume : Exfoliated hexagonal boron nitride (hBN) is used as an ultra-thin dielectric van der Waals substrate for the epitaxial growth of the non-polar parahexaphenyl (6P) and the polar dihydrotetraazaheptacene (DHTA7). Both molecules form regular networks of needle-like crystallites on the hBN substrates. Morphology investigations based on atomic force microscopy together with density functional theory simulations reveal the epitaxial relation between hBN and the organic semiconductor crystallites. The needle-like 6P crystallites grow with their long axes oriented ±6° off the hexagonal boron nitride zigzag directions [1], whereas the acene-like DHTA7 forms very long needles with a splitting of ±9.5° with respect to the hBN armchair direction. Tuning the deposition temperature and the thickness of hBN yields ordered networks of needle-like crystallites as long as several tens of micrometers. A better understanding of the growth and ordering of organic crystallites on ultra-thin van der Waals dielectric substrates is a step towards grain boundary-free organic field effect devices limited only by the intrinsic properties of the organic semiconductors. [1] A. Matković, et al. Sci. Rep.6, 38519 (2016)

V.3.2
09:30
Authors : Susumu Ikeda
Affiliations : WPI-Advanced Institute for Materials Research (WPI-AIMR), Tohoku University, Japan

Resume : In device fabrication, we often face cases where we wish to grow single-crystalline or in-plane-oriented polycrystalline thin films on amorphous substrates such as thermally-oxidized silicon wafers (SiO2/Si). In the field of organic semiconductors and devices, such necessity to achieve quasi (artificial) epitaxial growth on amorphous substrates is arising to improve the charge carrier mobility of organic semiconductors. In 2006, we first proposed applying graphoepitaxy, in-plain oriented growth on artificial microstructures, to this challenge and demonstrated graphoepitaxy of sexithiophene (6T; C24H14S6) [1]. In the experiments, SiO2/Si substrates with periodic microgrooves fabricated by lithography were prepared and 6T thin films were grown on the substrates by molecular beam deposition in an ultrahigh vacuum. Our team also showed that in-plane orientation of 6T thin films changed 90 degrees by changing the surface conditions, hydrophilic or hydrophobic [2]. In the last few years, I have performed molecular dynamics (MD) simulations to investigate the mechanism of the organic graphoepitaxy at a molecular level, using 6T and pentacene (C22H14) as the target molecules [3]. MD simulations worked well to visualize the movement of individual molecules and to clarify how the orientations of nuclei are arranged and adjusted to the edge of the microgrooves. [1] APL (2006) DOI: 10.1063/1.2216375 [2] JAP (2008) DOI: 10.1063/1.2913180 [3] JJAP (2018) DOI: 10.7567/JJAP.57.03EG04

V.3.3
09:45
Authors : Benoit Eydoux, Bulent Baris, Hassan Khoussa, Olivier Guillermet, Sébastien Gauthier, Xavier Bouju, David Martrou
Affiliations : CNRS/CEMES/GNS, CNRS/CEMES/GNS, CNRS/CEMES/GNS, CNRS/CEMES/GNS, CNRS/CEMES/GNS, CNRS/CEMES/GNS, CNRS/CEMES/GNS

Resume : RHEED spectra and low temperature NC-AFM images demonstrate that Au grows on the AlN(0001) (2×2)-Nad reconstructed surface as large (>100 nm) monolayer islands that form moiré patterns. These experimental data allow us to build atomic models that are used as input for first-principles DFT calculations. These calculations show that the hexagonal Au adlayer interacts locally with the substrate via its acceptor and donor sites. These interactions lead to local distortions of the hexagonal layer. Au adsorption is accompanied (i) by a global vertical charge transfer from the AlN substrate, that fulfills the electrostatic stability criterion for a polar surface, (ii) by lateral charge transfers mediated by the reaction of Au with the acceptor and the donor sites of the polar substrate. The stabilization of the monolayer is the consequence of these two mechanisms that lead to energy gains of electrostatic and chemical origin. This study rationalizes the physico- chemical effects associated with the adsorption of metallic islands on a polar substrate with a nonstoichiometric reconstructed surface and opens routes for the fabrication of metallic pads particularly interesting in the context of molecular electronics. These 2D metallic pads can also be the seeds for the growth of thick 2D gold electrodes. Such electrodes with a controlled metal-semiconductor interface could improve the reliability of the nitride active devices. Ref : B. Eydoux et al., Phys Rev Applied, 8, 044002 (2017)

V.3.4
 
Complex heterogeneous systems - part II : G. Saint-Girons
10:30
Authors : Ryutaro Yoshimi, Masataka Mogi, Kenji Yasuda, Atsushi Tsukazaki, Masashi Kawasaki, Yoshinori Tokura
Affiliations : RIKEN Center for Emergent Matter Science;University of Tokyo;University of Tokyo; Tohoku University; RIKEN Center for Emergent Matter Science, University of Tokyo;RIKEN Center for Emergent Matter Science, University of Tokyo

Resume : Topological insulators (TIs) are a new class of semiconductors displaying charge-gapped insulating behavior in the bulk but hosting a spin-polarized massless Dirac electron state at the surface. Quantum transport in surface Dirac electron systems has been attracting much attention for the half-integer quantum Hall effect (QHE) and quantum anomalous Hall effect (QAHE) [Chang, C.-Z. et al., Science 340, 167 (2013).]. We have fabricated topological insulator (Bi1−xSbx)2Te3 (BST) thin films by molecular beam epitaxy (MBE), in which the carrier density can be widely controlled by Bi/Sb ratio. Precise tuning of the Fermi level by field-effect transistor (FET) enables us to observe the QHE [R. Yoshimi et al., Nature Communications 6, 6627 (2015)] and QAHE [J. G. Checkelsky, R. Yoshimi et al., Nature Physics 10, 731 (2014).] in BST and Cr-doped compound Crx(Bi1−ySby)2-xTe3 (CBST). Furthermore, the robust QAHE up to 2 K [M. Mogi, R. Yoshimi et al., Appl. Phys. Lett. 107, 182401 (2015).] and the QH state with filling factor ν = 0 [R. Yoshimi, K. Yasuda et al., Nature Communications 6, 8530 (2015), M. Mogi, M. Kawamura, R. Yoshimi et al., Nature Materials 16, 516 (2017)] are realized in multilayers of BST and CBST. These observations of the quantization of Hall effects in tailored 3D-TI heterostructures films may pave a way toward TI-based electronics.

V.4.1
11:00
Authors : Thorsten Hesjedal
Affiliations : Clarendon Laboratory, Department of Physics, Parks Road, Oxford OX1 3PU, United Kingdom

Resume : Topological insulators (TIs) provide challenging prospects for the future of spintronics due to their large spin-orbit coupling and dissipationless, counter-propagating conduction channels in the surface state. For instance, in combination with ferromagnets (FMs), a large spin-orbit torque has been found which enables current-induced magnetization switching. In pseudo-spin valve FM-TI-FM heterostructures, we have demonstrated spin transfer through the device structure using element-selective, dynamic measurement techniques. Using a TI as a non-magnetic spacer is particularly intriguing as the carriers in the topological surface state are spin-polarized, pointing towards a route of exploiting TIs in a spintronics device. Further, the combination of topological properties and magnetic order can lead to new quantum states and exotic physical phenomena, such as the quantum anomalous Hall (QAH) effect. The size of the magnetic gap in the topological surface states, key for the robust observation of the QAH state, scales with the magnetic moment of the doped 3D TI. On the other hand, high magnetic moment materials, such as lanthanide-doped (Sb,Bi)2(Se,Te)3 thin films, show large moments but no long-range magnetic order. Proximity coupling and interfacial effects, multiplied in superlattices, allows for the engineering of the electronic and magnetic properties. In this way, we have been able to achieve magnetic long-range order in Dy:Bi2Te3, proximity-coupled to the magnetic TI Cr:Sb2Te3. Despite these successes, the combination of ferromagnetism and (Sb,Bi)2(Se,Te)3 TIs poses serious materials challenges. In my talk, I will give an overview of some of the major issues and possible solutions.

V.4.2
11:30
Authors : Jun-young Kim 1, Marjan Samiepour 1, Jeongchun Ryu 2, Daisuke Iizasa 2, Takahito Saito 2, Makoto Kohda 2, Junsaku Nitta 2, Harvey E. Beere 3, David A. Ritchie 3 and Atsufumi Hirohata 1
Affiliations : 1 University of York, York, United Kingdom; 2 Tohoku University, Sendai, Japan; 3 University of Cambridge, Cambridge, United Kingdom;

Resume : The spin field-effect transistor (FET) [1, 2] is a critical vehicle to study injection, manipulation and detection of spin-polarised electrons in a semiconductor. In this study, we fabricated Fe/n-GaAs non-local spin valves and investigated spin transport using electrical and optical methods. Electrical properties of the devices were measured in a vector-magnet He cryostat. Hanle-like Lorentzian peaks were obtained with half-width at half-maximum field values of around 440 mT. According to the formula used in Nam et al. [3], the spin dephasing time was calculated to be around 60 ps for the both 3- and 4-terminal measurements. Our device exhibited a ten-times larger 3-terminal signal, as well as a spin dephasing time about 200-times smaller than the usual values. The large (~1 T) field required to saturate the both 3T and 4T signals indicated possible magnetisation rotation of the Fe injector and detector bars as a possible source of the resistance changes. This was confirmed by pump-probe time-resolved Kerr rotation measurements. The strongest Kerr modulation was observed when the excitation wavelength was 821 nm (1.51 eV), which agreed well with the 1.52 eV GaAs band-gap at 0 K. Employing a fit function accounting the exponential decay and the Larmor precession of spins, a spin dephasing time of 2.9 ns and an electron g-factor of -0.43 were estimated. Further optimisation of the Fe/n-GaAs interface has been achieved using non-destructive junction imaging [4]. This work has partially been supported by UK-EPSRC (EP/M02458X/1). [1] S. Datta and B. Das, Appl. Phys. Lett. 56, 665 (1990) [2] X. Lou et al., Nature Phys. 3, 197 (2007) [3] S. H. Nam et al., Appl. Phys. Lett. 109, 122409 (2016) [4] A. Hirohata et al., Nature Commun. 7, 12701 (2016)

V.4.3
11:45
Authors : Hyunseok Kim, Ting-Yuan Chang, Wook-Jae Lee, Diana L. Huffaker
Affiliations : Department of Electrical Engineering, University of California Los Angeles; Department of Electrical Engineering, University of California Los Angeles; School of Engineering, Cardiff University; School of Physics and Astronomy, Cardiff University

Resume : Integration of III-V semiconductors on silicon and silicon-on-insulator (SOI) platforms has been of great interest in silicon photonics. Here, we present monolithic integration of III-V semiconductor nanowires (NWs) on SOI platforms by catalyst-free selective-area epitaxy (SAE) using MOCVD. The proposed method could be an enabling technology for monolithic nano-LEDs, nanolasers and nanophotodetectors compatible with silicon photonic platforms, since the nanoscale footprint enables the defect-free growth on lattice-mismatched substrates without the need of Au catalysts that contaminate silicon. In this presentation, we will discuss full details of the InGaAs NWs from seeding through heterostructure, doping and passivation which yield 100 % vertical growth and excellent uniform dimensions. Advancing from NW growth on planar SOI layers, the growth of NW arrays on 3D structured SOI substrates with 1D/2D gratings, waveguides, and couplers are also demonstrated, which represents a way toward practical NW-based optical links. Lastly, we present NW-based p-i-n heterostructures on SOI platforms, which are comprised of axial p-GaAs / i-InGaAs NWs grown on n-Si layers of SOI substrates and passivated in-situ by InGaP shells. High-Q cavities with efficient 3D diffusion barriers are formed by growing the heterostructures with the NW height taller than 2200 nm. This provides an ideal structure for electrically injected bottom-up photonic crystal lasers on silicon photonic platforms.

V.4.4
12:00
Authors : A. Scaccabarozzi (1), D. Aureau (2), F. Oehler (1), S. Collin (1,3), J.C. Harmand (1), A. Cattoni (1)
Affiliations : (1) C2N, CNRS UMR9001, route de Nozay, F-91460 Marcoussis (2) ILV, CNRS UMR8180, Université Versailles - St Quentin, F-78035 Versailles (3) IPVF, 30 route départementale 128, F-91120 Palaiseau

Resume : Thanks to reduced strain constraints compared to their two-dimensional thin film counterparts, and to their light trapping properties and strong absorption with limited amount of material, III-V nanowires (NWs) represent an attractive architecture for the design of beyond 30% efficient tandem solar cells on Silicon. In particular, GaAsP alloys can be tuned to the optimum energy gap of 1.7eV, the target for maximum efficiency of a dual-junction solar cell on Si. A uniform ensemble of site-controlled NWs is desirable to obtain careful control of junction characteristics and eventually high device efficiency. We performed molecular beam epitaxy of GaAsP binary and ternary self-catalyzed NWs on Si substrates patterned with an array of nano-holes. We report on the effect of Si substrate doping on the growth of GaAsP NWs: we found that a strong p-type doping of the Si substrate severely affects the vertical yield, to the extent that NWs do not grow at all sites. We show how to restore high yield with plasma treatment of the Si surface and group-III-assisted de-oxidation. By carefully controlling the substrate preparation we were able to obtain reproducible high yields (95%) on large areas (4cm2), onto which we fabricated solar cells; we also show how catalyst engineering can be exploited to further enhance the growth of vertical NWs up to 99%. Finally, we discuss the implications for the integration of NW devices in tandem cells with heavily doped tunnel junction layers.

V.4.5
 
III-V semiconductors heterointegration - part I : A. Trampert
14:00
Authors : L. Czornomaz, C. Zota, C. Convertino, V. Deshpande, H. Hahn, Y. Baumgartner, M. Sousa, D. Caimi, J. Fompeyrine
Affiliations : IBM Research GmbH, Saumerstrasse 4, CH-8803 Ruschlikon, Switzerland

Resume : High-mobility channel materials such as InGaAs and SiGe alloys are considered to be the leading candidates for replacing strained Si in future low power/high performance logic circuits. However, the integration of InGaAs on Si and the co-integration of InGaAs devices with SiGe devices are extremely challenging. On the one hand, the large lattice mismatch (8 to 10%) and the potential formation of antiphase domains at the polar/non-polar III-V/Si crystalline interface typically hinder the integration of high quality InGaAs crystals on Si. On the other hand, InGaAs and SiGe require very different processing conditions in terms of thermal budgets, dry and wet chemistries, passivation schemes and contacting schemes which complexifies the realization of CMOS circuits. In this presentation, we will report on our InGaAs/SiGe CMOS technologies which yielded the first demonstration of inverters and SRAM arrays based on 2D and 3D monolithic integration schemes [1,2] as well as InGaAs FinFETs with record performance [3]. We will then highlight how this technology platform can be leveraged for RF and photonic applications. [1] L. Czornomaz, et al., VLSI Technology (2016), [2] V. Deshpande, et al., VLSI Technology (2017), [3] H. Hahn, et al. IEDM (2017)

V.5.1
14:30
Authors : J. Roque (1) (2), B.Haas (1) (3), S. David (2), N. Rochat (1), N. Bernier (1), B. Salem (2), P.Gergaud (1), F. Bertin (1)
Affiliations : (1) Univ. Grenoble Alpes, F-38000 Grenoble, France CEA, LETI, MINATEC Campus, F-38054 Grenoble, France. (2) Univ. Grenoble Alpes, F-38000 Grenoble, France CNRS, LTM, F-38000 Grenoble, France. (3) Univ. Grenoble Alpes, F-38000 Grenoble, France CEA, INAC, MINATEC Campus, F-38054 Grenoble, France.

Resume : Electronic properties and structural characteristics of In_0.3 Ga_0.7 As quantum well (QW) grown on silicon substrate by metalorganic chemical vapor deposition (MOCVD) according to aspect ratio trapping (ART) method have been studied. During the last ten years, progress has been made in direct III-V growth on silicon and ART seems a promising method to reduce threading dislocation by using SiO2 walls. Nevertheless, we have highlighted that the In_0.3 Ga_0.7 As QW optical efficiency was not improved. Indeed, it is observed few low intensity luminescent areas over few hundred nm long. Electronic band structure simulation of the QW corresponds to a In concentration of 34% to match the InGaAs QW emission which is a higher concentration than expected. High resolution scanning transmission electron microscopy (HRSTEM) study highlights on Fourier transform presence of extra-spots characteristic of triple period (TP)-A ordering. Local ordering induces band gap narrowing effect which distorts In quantification by simulation. Presence of ordering in a patterned sample has not been reported so far. For further investigation, two co-localized characterization techniques at nanometer scale are used, cathodoluminescence and HRSTEM. Ordering is correlated to the InGaAs QW weak radiative emission and a rapid thermal annealing is used to improve the optical emission. Our spatially correlated characterization techniques allow to understand the spatial optical emission variations on our sample.

V.5.2
14:45
Authors : Daniel Pelati (1,2,3), Andrea Cattoni (1), Stéphane Collin(1), Olivia Mauguin (1), Ludovic Largeau (1), Gilles Patriache (1), Jean-Christophe Harmand (1), Phannara Aing (2), Frank Glas (1), Fabrice Oehler (1)
Affiliations : (1) Centre for Nanoscience and Nanotechnology, CNRS, Université Paris-Sud, Université Paris-Saclay, Route de Nozay, 91460 Marcoussis, France; (2) RIBER SA, 31 rue Casimir Périer, 95870 Bezons, France; (3) Institut Photovoltaïque d’Ile-de-France (IPVF), Antony, France

Resume : The direct integration of III-V materials on group IV semiconductors is a key prospect for the future generations of electronic devices. However, differences in lattice parameter and polarity between group IV and III-V materials severely limit this approach. Specific couples, such as Ge/GaAs, have nearly equal lattice parameters but polarity remains an issue. Here, we investigates the growth of GaAs by Molecular Beam Epitaxy on the unconventional (111) orientation of Ge. Contrary to the standard (100) surface (for which the half unit cell steps lead to the formation of inversion domains), the Ge (111) surface has steps whose height is a lattice translation vector and epitaxy always leads to <111>B-oriented GaAs with no inversion boundary. Ge (111) thus appears as an ideal substrate for which polarity and lattice mismatch problems do not exist. In practice, we however observe twinning defects specifically related to the (111) orientation. Appropriate procedures (exposing the surface to As before growth and avoiding irradiation with electrons at the early stages of growth) yield substantial reduction of twin densities. Characterization by X-ray diffraction and cross-sectional transmission electron microscopy reveal that 0,5 µm thick GaAs layers can be grown on Ge (111) with no extended crystalline defect over lengths of at least 10 microns. To summarize, we have obtained GaAs layers free of dislocations, twins and polarity changes on standard Ge (111) wafers, using only Ga and As elemental fluxes. This opens a simple but efficient route toward epitaxial GaAs on low-cost Ge wafers or recrystallized Ge layers.

V.5.3
15:00
Authors : G. Hamon1, N. Vaissiere2, W. Chen1, J. Alvarez3, J. L Maurice1, J. Decobert2, J.P. Kleider3 and Pere Roca i Cabarrocas1
Affiliations : 1. LPICM-CNRS, Ecole Polytechnique, 91128 Palaiseau, France 2. III-V Lab, 1 avenue Augustin Fresnel, 91767 Palaiseau, France 3. GeePs, CNRS UMR8507, CentraleSupélec, Univ Paris-Sud, Université Paris-Saclay, Sorbonne Universités UPMC Univ Paris 06, 11 rue Joliot-Curie, Plateau de Moulon, 91192 Gif-sur-Yvette, France

Resume : Integration of III-V materials onto silicon has been and still is a challenging subject due to lattice and thermal mismatch effects, as well as to the polarity issues at the interface which result in a high density of defects. To overcome these difficulties, we present here an original approach where silicon is epitaxially grown on GaAs by low temperature plasma-enhanced CVD (PECVD). Previously, the good electronic quality of the low temperature epi-Si and epi-SiGe layers has been demonstrated by making heterojunction solar cells on highly doped c-Si substrates [1]. This approach has been transferred to the growth of c-Si on GaAs [2]. Without the need for ultra-high vacuum and keeping the substrate temperature around 200 °C, both a GaAs surface cleaning and a subsequent heteroepitaxial growth were achieved and monitored by in-situ spectroscopic ellipsometry. Aiming at tandem devices, we have also fabricated hybrid tunnel junctions where we combine low temperature RF-PECVD for doped Si and metalorganic vapour phase epitaxy (MOVPE) for doped GaAs. The electrical properties of these heterojunctions are measured and compared to that of a reference III-V tunnel junction. The presence of atomic hydrogen during the epi-PECVD of silicon on GaAs strongly reduces the doping level at the surface of GaAs. Indeed, 30 seconds of H2 plasma exposition at 175 °C are sufficient to reduce the GaAs film doping level from 1.1020 cm-3 to less than 2.1019 cm-3 at the surface and over a depth of about 20 nm. However, the doping level can be fully recovered after annealing at 350 °C [3]. 1. R. Cariou et.al. Sol. En. Mat. and Solar Cells 134 (2015) 15. 2. R. Cariou et. al. Scientific Reports 6 (2016) 25674. 3. G. Hamon, et. al. J. Photon. Energy 7(2), 022504.

V.5.4
15:15
Authors : T. Journot1,2; J. Dijon1,3; B. Hyot1,2
Affiliations : 1Univ. Grenoble Alpes, 38000 Grenoble, France. 2CEA, LETI, MINATEC campus, 38000 Grenoble, France. 3CEA, LITEN, 38000 Grenoble, France.

Resume : Heteroepitaxial growth of a crystalline material on a substrate is crucial for many electronic and optoelectronic devices. Due to lattice mismatch and thermal expansion coefficient difference between the two material systems, the strong covalent bonds at the interface create numerous structural defects and limit the integration of different semiconductors. To potentially reduce those constraints, our work focus on the GaN growth on graphene by van der Waals epitaxy. First, we study the phenomena involved during the specific nucleation steps we implemented in our high temperature (>1000°C) MOCVD process to overcome the challenging nucleation of sp3-bonded GaN material on the extremely low surface energy of graphene. Then, we demonstrate the direct van der Waals epitaxy of continuous GaN films on graphene with crystalline quality comparable with that grown on conventional substrates. Our layers show the advantageous feature of being relaxed, suggesting a self-governing growth of GaN on graphene. Besides, an AFM contact mode scan leads to a displacement of the GaN seeds proving that the graphene/GaN interface is governed by interactions weaker than strong covalent bonds. Further the van der Waals interaction can be beneficial to rapidly release and transfer the crystalline grown film from its 2D substrate. This work proves the feasibility of the epitaxial growth of 3D material on 2D materials and opens a new route to use graphene as a smart material for semiconductor epitaxy.

V.5.5
15:30
Authors : C. Renard1, M. Coste1, N. Cherkashin3, A. Jaffré2, L. Vincent1, J. Alvarez2, G. Hallais1D. Mencaraglia2, D. Bouchier1
Affiliations : 1 Centre de Nanoscience et de Nanotechnologies (C2N), CNRS ? Université Paris-Sud, 91405 Orsay cedex, France 2 GeePs, UMR 8507 CNRS, Centralesupélec, Universités Paris VI et XI, 11 rue Joliot Curie, 91192 Gif-sur-Yvette 3 CEMES-CNRS, Université de Toulouse, 29 rue J. Marvig, Toulouse, 31055, France

Resume : Several technical solutions for GaAs monolithic heteroepitaxy on silicon have been studied for more than 30 years. As for example, the use of buffer layers or structured silicon substrate to localized integration. But until now, no large scale and effective process was found to efficiently reduce the dislocation density down to 104-105cm-2 required for CMOS technology. Significant improvements have been reported for many years, thanks to selective area epitaxy of GaAs on Si substrates patterned with dielectric films. However, these layers are inappropriate for applications involving electronic transport between GaAs and Si at a large scale. To overcome these problems we have developed a technique based on the Epitaxial Lateral overgrowth on Tunnel Oxide from nano-seed (ELTOn) of micrometer scale GaAs crystals on an ultra-thin silicon oxide from nanoscale Si seeds. This method permits the integration of high quality and defect-free crystalline GaAs on Si substrate and provides active GaAs/Si heterojunctions with efficient carrier transport through the thin SiO2 layer. The nucleation from small width openings avoids the emission of misfit dislocations and the formation of antiphase domains. This epitaxial technique paves the way to hybrid III?V/Si devices that are free from lattice-matching restrictions, and where silicon not only behaves as a substrate but also as an active medium.

V.5.6
 
III-V semiconductors heterointegration - part II : C. Cornet
16:30
Authors : A. Trampert1*, M. Niehle1, H. Drube1, J.B. Rodriguez2,3, L. Cerutti2,3, E. Tournié2,3
Affiliations : 1 Paul-Drude Institut für Festkörperelektronik, Leibniz-Institut im Forschungsverbund Berlin e.V., Hausvogteiplatz 5–7, 10117 Berlin, Germany 2 Université de Montpellier, IES, UMR 5214, F-34000 Montpellier (France) 3 CNRS, IES, UMR 5214, F-34000 Montpellier (France)

Resume : The integration of III-V compound semiconductor materials such as GaSb on Si provides a unique opportunity to combine the benefits of advanced optoelectronic materials with the capabilities of established silicon technologies. The direct epitaxial growth of III-V lasers on Si-based electronic circuits appears as very promising, cost-effective and versatile approach. However, the heteroepitaxy of dissimilar materials such as III-Sb on Si (001) substrates has been shown for a long time to be challenging by reasons of existing large lattice, thermal and polarity mismatches, which typically result in a three-dimensional (3D) growth mode accompanied by the development of high defect densities. Although much progress has been made in recent years on the detailed characterization of the nature of the defects and their controlling during growth, a comprehensive understanding of the defect formation mechanism has not yet been achieved. In this contribution, a combination of novel 3D imaging mode using electron tomography and scanning transmission electron microscopy and spectroscopy is applied to unambiguously explore the origin of extended defects in III-Sb layers ¬– including complex laser structures – grown on Si(001) by molecular beam epitaxy [1,2]. Highly efficient but sessile 90° pure edge-type misfit dislocations are formed during initial island nucleation. The number and distribution of threading defects can however not be made consistent with the concept of their generation during 3D island coalescence on the basis of imperfections in the misfit dislocation networks. On the other hand, the emergence of 60°-type dislocations at the interface is explored and their contribution to the formation of threading dislocations is discussed. Interaction with extended anti-phase boundaries lead to spatially inhomogeneous defect distribution. Based on our results, concepts of defect reduction will be outlined. [1] M.Niehle et al., Acta Materialia 143, p. 121 (2018); [2] M. Niehle et al. Scripta Materialia 132, p. 5 (2017)

V.6.1
17:00
Authors : Roksolana Kozak1*, Ivan Prieto1,2, Christian Andres3, Hans von Känel1,2, Rolf Erni1, Ivan Shorubalko4, Marta D. Rossell1, Gian-Luca Bona5
Affiliations : 1. Electron Microscopy Center, Empa - Swiss Federal Laboratories for Materials Science & Technology, Überlandstrasse 129, CH-8600 Dübendorf, Switzerland; 2. Laboratory for Solid State Physics, Otto-Stern-Weg 1, ETH Zürich, CH-8093 Zürich, Switzerland; 3. Thin Films and Photovoltaics, Empa - Swiss Federal Laboratories for Materials Science & Technology, Überlandstrasse 129, CH-8600 Dübendorf, Switzerland; 4. Transport at Nanoscale Interfaces, Empa - Swiss Federal Laboratories for Materials Science & Technology, Überlandstrasse 129, CH-8600 Dübendorf, Switzerland; 5. Empa - Swiss Federal Laboratories for Materials Science & Technology, Überlandstrasse 129, CH-8600 Dübendorf, Switzerland

Resume : Continuous miniaturization of electronic and photonic devices for future applications requires engineering of new nanostructures. A promising approach to scaling down dimensions of these devices is the use of III-V/Si nanoheteroepitaxy. Local atomic structural studies of GaAs nanocrystals (10-100 nm size) selectively grown by metal-organic vapor phase epitaxy on Si (001) nanotip patterned substrates (consisting of ~50-90 nm top Si openings surrounded by a SiO2 matrix) have been carried out by means of atomic-resolution high-angle annular dark-field scanning transmission electron microscopy. They revealed the presence of crystal defects (misfit dislocations, stacking faults and twins) at the GaAs/Si interface. By depositing ZnO:Al TCO layers on top of the produced GaAs/Si nanostructures, photosensitive backward diodes have been obtained and investigated by I-V measurements in the dark and under illumination. A tunneling effect between p-Si and n-ZnO:Al is observed at low bias voltages, whereas the intermediate introduction of ~10 nm GaAs particles leads to a jump of the tunnel diode resistance (R0). The increase of GaAs nanocrystal sizes up to 100 nm results in decreased R0 values, which can be used for tuning the parameters of the backward diode. This effect is accompanied by a significant difference (factor of ~100) between the R0 values obtained in the dark and under illumination, which might be suitable for the high sensitivity detection of light signals in submicron scales.

V.6.2
17:15
Authors : Sisir Chowdhury and P. Banerji
Affiliations : Materials Science Centre, Indian Institute of Technology Kharagpur

Resume : Though growth of indium gallium arsenide epitaxial layer on indium phosphide substrate is well reported, but for the micro-electronic application with the silicon based process technology, we need to transfer it to the Si substrate for monolithic integration circuits to fabricate high speed device. Moreover, Silicon substrate is less expensive and available with larger diameter than any other wafers. As, direct epitaxial layer growth of InGaAs is on Si substrate could not be achieved, other than low dimensional structures, a buffer layer of InP on Si can be introduced to get a lattice matched substrate. In our present investigation we tried to deposit InxGa1-xAs layer by Metal Organic Chemical Vapor Deposition (MOCVD) technique on Si substrate followed by an InP buffer layer. Indium gallium arsenide layer with indium phosphide buffer has been grown on p-type silicon (100) by Metal Organic Chemical Vapor Deposition (MOCVD) technique. The composition and the crystalline quality of the grown films have been investigated by UV-Vis-NIR reflectance spectroscopy and grazing incidence X-ray diffractometry (GIXRD). To get a lattice matched substrate an InP buffer layer is deposited onto Si substrate prior to InGaAs growth. The reflectance spectrum shows the band gap energy of the grown InGaAs layers are determined to be 0.82 eV and from the diffraction data the lattice constants of the grown layers are found to be 5.855 and 5.875 nm for two growth temperatures of 600 and 650 degree Celsius with same precursors flow rates. The thickness and the growth rate are determined from the reflectance spectrum. It is found that the deposition lies in the mass transport limited regime for the mentioned temperature range.

V.6.3
17:30
Authors : Taha Ayari1,2, Suresh Sundaram2,3, Saiful Alam1,2, Adama Mballo2, Yacine Halfaya2, Simon Gautier4, Paul L. Voss1,2, Jean Paul Salvestrini2,3, Abdallah Ouagazzaden1,2.
Affiliations : 1Georgia Institute of Technology, School of Electrical and Computer Engineering, GT-Lorraine, 57070 Metz, France 2CNRS, UMI 2958, G T - CNRS, 2 rue Marconi, 57070 Metz, France 3GT Lorraine, UMI 2958, G T - CNRS, 2 rue Marconi, 57070 Metz, France 4Institut Lafayette, 57070 Metz, France.

Resume : Van der Waal epitaxy of sp3-bonded GaN-based materials on 2D layered h-BN is attracting much attention1,2,3. A few nanometer thick h-BN layer acts as a template for subsequent 3D (bulk) materials growth with greatly relaxed constraints on lattice matching compared to conventional hetero-epitaxy and allows for the mechanical separation of the 3D films from the growth substrate and subsequent transfer to a new substrate with different functionality, such as flexibility, or high thermal conductivity. Despite these advantages, the 2D nature of h-BN with its surface free of dangling bonds makes nucleation difficult. Moreover, the smoothness and the thickness of this sacrificial layer are crucial to grow high quality buffer layer and hence device structures with excellent performances. Here, we focus on the optimization of h-BN layer along with the buffer layer (nucleation layer/GaN template). Nucleation on the 2D h-BN using AlN or AlGaN layers is investigated as function of different thicknesses of h-BN from 1.5nm to 20nm. Growth is performed by MOVPE on 2-inch sapphire substrate. Deep investigation of material quality is performed using structural, morphological and optical characterizations. Optimized h-BN and buffer layers are then used to grow InGaN/GaN MQW based LED. HR-XRD 2θ - ω scans give well defined satellite peaks of the MQWs which fit well with the simulated ones. Reciprocal space mapping shows a fully strained MQWs structure. The In content extracted from X-ray simulation is 18%. This corresponds to an emission around 475 nm which is confirmed by photoluminescence measurements. The LED is processed and characterized in full 2-inch wafers. The fabricated devices and their transfer to a new flexible substrate were measured and compared to a reference LED on sapphire. This 2D/3D heterostructure technology can be extended to other devices (HEMTs, solar cells …) and may provide a pathway for new generation flexible nitride-based opto-electronics. 1Y. Kobayashi et al., Nature 484, 223, 2012. 2T. Ayari et al., Appl. Phys. Lett. 108, 171106, 2016. 3T. Ayari et al., Scientific Reports 7, 15212, 2017.

V.6.4

No abstract for this day

No abstract for this day

No abstract for this day


Symposium organizers
Charles CORNETFOTON laboratory – INSA Rennes

INSA Rennes, 20, Avenue des Buttes de Coësmes, CS 70839, F-35708 Rennes Cedex 7, France

+33 (0)2 23 23 83 99
Charles.cornet@insa-rennes.fr
Clement MERCKLINGImec

Kapeldreef 75, 3001 Leuven, Belgium

+32 (0)16 28 86 91
clement.merckling@imec.be
Gavin BELLUniversity of Warwick

Department of Physics, Coventry CV4 7AL, UK

+44 24 7652 3489
Gavin.Bell@warwick.ac.uk